减少时分复用蚀刻工艺中纵横比相关蚀刻的方法和装置的制作方法

文档序号:6866938阅读:262来源:国知局
专利名称:减少时分复用蚀刻工艺中纵横比相关蚀刻的方法和装置的制作方法
技术领域
本发明涉及一种用于等离子体蚀刻基板中的图形的方法和装置。更具体地,本发明涉及,通过控制用于蚀刻高纵横比硅结构的交替的淀积和蚀刻步骤,减少该结构的制造中的纵横比相关蚀刻。
背景技术
硅中的三维结构的制作广泛地用于制造微机电(MEMS)器件。该结构常常具有高的纵横比(AR)以及数十至数百微米的深度。而且,大部分器件设计需要具有不同尺寸的结构,并且因此不同的AR共同存在于单一的微芯片上。
多种处理技术已应用于制作三维微结构。使用等离子体状态下的反应性气体的干法蚀刻是一种较常使用的用于硅蚀刻的工艺。时分复用(TDM)等离子体蚀刻技术已由Suzuki等人(US 4,579,623)、Kawasaki等人(US 4,795,529)和Laermer等人(US 5,501,893)描述,且典型地用于MEMs应用。TNM蚀刻工艺典型地在反应器中执行,该反应器配置有高密度等离子体源和射频(RF)偏置基板电极。TDM蚀刻工艺使用交替的蚀刻和淀积步骤。例如,在蚀刻硅基板时,六氟化硫(SF6)用作蚀刻气体,而八氟环丁烷(C4F8)用作淀积气体。在蚀刻步骤中,SF6有助于硅的自发的和各向同性的蚀刻;在淀积步骤中,C4F8有助于保护性聚合物钝化到蚀刻结构的侧壁和底部。在后继的蚀刻步骤中,在高能和定向离子轰击之后,由前面的淀积步骤而涂覆在蚀刻结构底部的聚合物膜将被移除,以使硅表面暴露用于进一步的蚀刻。侧壁上的聚合物膜将保留,抑制横向蚀刻。TDM工艺在蚀刻和淀积工艺步骤之间周期性交替,以使得能够以高的蚀刻速率在掩蔽的硅基板中限定高纵横比的结构。图1提供了对TDM蚀刻工艺的示意性说明。
TDM工艺由多个步骤组成。一组步骤被称为一个周期(例如,蚀刻和淀积)。一个周期的两次或多次“重复”被称为一个循环。在一个循环中顺序执行多个周期时,在现有技术中已知,对步骤配方参数进行小的改变,以增强外形控制(例如,变形)。在变形的情况中,一个循环可以由一系列的两个或更多周期组成,其中周期中的步骤并非严格地与其他周期中的步骤相同。周期中的步骤可以进一步被分为一个或多个子步骤(例如,蚀刻步骤可被细分为聚合物移除子步骤和各向同性硅蚀刻子步骤)。
在深硅蚀刻中存在充分记载的纵横比相关蚀刻(ARDE)现象。已经观察到,硅蚀刻速率随着深度或纵横比(其被定义为图形深度除以图形宽度)的增加而下降。在三维结构的制作过程中,ARDE效应可以以两种方式表现。首先,在进行具有恒定宽度的图形蚀刻工艺时,图形纵横比随着蚀刻时间的增加而增加,导致了蚀刻速率随时间下降。其次,当具有不同尺寸的图形出现在相同的基板上并且一起同时蚀刻时,相比于较窄的沟槽,以较快的速率蚀刻较宽的沟槽。在这两种情况中,蚀刻速率随着纵横比的增加而下降。在图2的截面中示出了ARDE的示例。在该情况中,具有自2.5μm至100μm范围宽度的沟槽被定位为同另一沟槽相邻,并且在一个工艺中蚀刻。在使沟槽深度相对于100μm宽的沟槽的深度归一化时,测量ARDE滞后,该ARDE滞后有时被称为反应性离子蚀刻(RIE)滞后,并且图3中给出了结果。在该情况中,当100μm宽的沟槽被蚀刻到130μm的深度时,10μm宽的沟槽仅被蚀刻到94μm的深度,而2.5μm宽的沟槽仅被蚀刻到62μm的深度。
ARDE效应为MEMS器件制作带来了挑战性的复杂化问题。当具有多种横向尺寸的结构共存并且一次蚀刻时,所得到的垂直尺寸是不同的,其可能与器件设计要求不相容。即使对于单一的结构,在蚀刻进程中,垂直蚀刻速率不是恒定的,其可能再次带来工艺控制问题。事实上,RIE滞后是高度复杂的现象,并且提出了许多机制用于解释蚀刻速率随增加的纵横比的变化。通常,许多因素对RIE滞后有显著的贡献,诸如(i)蚀刻结构的底部的离子束流损失;和(ii)由于中性遮蔽和Knudsen输运引起的反应性中性核素耗尽。
实际上,MEMS器件的设计者和器件的制造者不得不应对ARDE效应。一个广泛使用的方法是使用蚀刻停止层。在绝缘体上硅(SOI)和玻璃上硅(SOG)晶片中,埋设的氧化物层用于停止蚀刻,以补偿RIE滞后。然而,在使用SOI或SOG晶片时,出现了两个缺点。第一个缺点是硅/氧化物界面处的缺口,其常常是不利的。第二个缺点是,SOI和SOG晶片比硅晶片更昂贵。
可替换地,许多团体调查了用于减轻ARDE效应的其他手段。下面是这些调查的总述。
Doh等人报告了在电子回旋共振(ECR)等离子体蚀刻系统中在增加的偏置电压和增加的偏置频率时的RIE滞后的改进。Doh等人教导了在ECR系统中通过C4F8+H2等离子体来蚀刻二氧化硅(SiO2)。该偏置电压范围是自100至300伏特,而偏置频率范围是自100kHz至1MHz,并且腔室压力范围是自3.0mTorr至7.5mTorr。较高的偏置频率和偏置电压导致了RIE滞后的显著减少,并且下降的压力也对较小的RIE滞后有贡献。尽管Doh等人非常详细地教导了等离子体参数对RIE滞后的影响,但是他们的技术使用单一步骤的工艺,并且他们并未教导或暗示将其用于TDM工艺中。而且,与在蚀刻硅时获得结果的本发明不同,Doh等人的结果是在蚀刻二氧化硅时获得的。
Lill等人公开了在感应耦合等离子体(ICP)系统中通过SF6等离子体蚀刻多晶硅的实验中的RIE滞后结果。在高的压力(高达20mTorr)和介质阴极温度(即,45℃)时报告了减少的RIE滞后。尽管该结果是值得注意的,但是多晶硅是通过单一步骤的工艺蚀刻的。不同地,TDM工艺利用交替的淀积和蚀刻方案。
Tsujimoto等人教导了一种方法,其中减少气体驻留时间,以减少RIE滞后。在ICP系统中使用Cl2等离子体蚀刻多晶硅。在较低的腔室压力下,观察到RIE滞后减少。然而,利用Cl2等离子体的蚀刻工艺不是TDM工艺,并且利用Cl2等离子体的非常低的蚀刻速率和低的掩膜选择性,使得蚀刻大于数十微米深度的三维结构是不切实际的。
Chung等人(美国专利申请No.2003/0171000)教导了通过两种方法减少TDM硅蚀刻工艺的ARDE。第一种方法教导了,修改图案的几何特征,使宽的和窄的图形之间的负载相等。第二种方法教导了,关闭自动压力控制阀,以提升蚀刻和淀积步骤中的工艺压力。Chung等人未教导增加淀积时间、减少蚀刻时间、增加聚合物淀积速率、或者降低聚合物移除速率,以修正ARDE。此外,Chung等人未教导或暗示在工艺过程中对蚀刻深度差使用实时反馈,以修正ARDE。
Richard等人(SPIE会议“Microelectroinic & MEMs Technologies”,Edinburgh(UK),2001年5月)执行了一系列的设计实验,以使用TDM工艺减少ARDE。在他们的实验过程中,他们发现,通过较短的蚀刻时间、低的压力、低的台板(platen)(RF偏置)功率和增加的淀积时间,来使ARE最小。Richard等人未公开用于实时测量工艺中滞后程度的方法。而且,Richard等人未公开在TDM工艺过程中使用闭环自适应配方控制使ARDE最小。
Lill等人(美国专利No.6,632,321)教导了,使用干涉测量用于实时蚀刻速率控制。Lill等人使用的干涉测量基于紫外(UV)光源,而本发明使用激光源。Lill等人教导了,使用单一的光束监视强度的周期变化,以确定蚀刻速率。然而,本发明人使用多个光束直接测量作为光束之间相位差的干涉,以确定它们的蚀刻深度差,其测量蚀刻速率。Lill等人教导了,来自表面的光反射用于监视蚀刻速率,但是未教导直接测量至少两个不同的图形宽度,用于实时蚀刻深度控制。此外,Lill等人教导了用于维持恒定的蚀刻速率的控制方法,而本发明未监视蚀刻速率,而是控制工艺,以控制至少两个不同尺寸图形之间的蚀刻深度差。而且,Lill等人未考虑对时分复用工艺应用实时控制。结果,Lill等人未教导减少蚀刻步骤时间、增加聚合物淀积时间、减少聚合物移除速率或者增加聚合物淀积速率,作为对TDM硅蚀刻工艺中的ARDE的解决方案。
Ayon等人(Journal of Electrochem.Soc.,146(1)339-349(1999))教导了,通过使用高的(140sccm)SF6流速,使TDM工艺的ARDE最小。Ayon等人报告了,在其中使ARDE最小的制度中,图形外形的底面随着跨越图形尺寸的凸起和凹陷而改变。该效应是不理想的。Ayon等人未考虑工艺的实时测量和控制,以使ARDE最小。
Laermer等人(美国专利No.6,720,268)教导了在工艺过程中使用光学发射光谱,用于确定在TDM工艺过程中聚合物何时清除。Laermer等人未教导使用双光束干涉仪用于实时工艺反馈。此外,Laermer等人未教导使用实时测量同时测量两个图形,以减少ARDE。
Hopkins等人(美国专利No.6,187,685)讨论了导致TDM工艺中的ARDE的机制。具体地,该机制如下相比于窄的沟槽,较多的淀积出现在宽的沟槽中(可假定由于输运限制),导致了相比于窄的图形,在较宽的(较低的纵横比)图形中移除了较多的聚合物,并且在较宽的(较低的纵横比)图形中硅蚀刻速率是较高的,由此蚀刻和钝化(移除和淀积)的净效果使窄的和宽的图形的蚀刻速率相等。Hopkins等人教导了,通过多种方法可以实现RIE滞后补偿效果,其允许蚀刻和淀积条件的明智的平衡,以实现相同的效果。Hopkins等人教导了,脉冲RF偏置也呈现了TDM硅蚀刻工艺中的ARDE的减少。Hopkins等人未考虑使用实时度量来监视或修改工艺。
因此,需要减少TDM工艺中的ARDE效应。
在现有技术中未提供由本发明产生的益处。
因此,本发明的目的在于,提供一种改进方案,其克服了现有技术器件的不足,并且对半导体处理技术的发展有显著贡献。
本发明的另一目的在于,提供一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,该方法包括将基板置于真空腔室中;借助于等离子体,在所述基板上淀积钝化层;借助于等离子体,移除至少一部分所述钝化层;借助于等离子体,自所述基板蚀刻材料;执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;随时间监视所述基板上的不同尺寸的图形;基于所述监视步骤,控制工艺循环步骤;和,自真空腔室移除基板。
本发明的另一目的在于,提供一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,该方法包括将基板置于真空腔室中;借助于等离子体,在所述基板上淀积聚合物;借助于等离子体,移除至少一部分所述聚合物;借助于等离子体,自所述基板蚀刻材料;执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;在至少一个步骤中调节工艺参数,以获得至少两个不同尺寸图形的相等的蚀刻深度;和,自真空腔室移除基板。
本发明的另一目的在于,提供一种用于减少基板的等离子体蚀刻过程中的纵横比相关蚀刻的装置,包括真空腔室;至少一个气体供应源,用于将至少一种工艺气体供应到所述真空腔室中;排气装置,其与所述真空腔室连通;下电极,其安置在所述真空腔室中;基板保持器,其连接到所述下电极;等离子体源,用于在所述真空腔室中生成等离子体;工艺控制器,用于交替地借助于等离子体在基板上淀积钝化层,借助于等离子体移除至少一部分淀积的钝化层,并且借助于等离子体自基板蚀刻材料;和差分干涉仪,其耦合到所述工艺控制器,所述差分干涉仪生成指出至少两个不同尺寸图形之间深度差的信号,并且所述工艺控制器响应于由所述差分干涉仪生成的信号,改变至少一个工艺参数。
前面略述了本发明的某些相关目的。这些目的应被解释为仅说明了预期发明的某些较显著的特征和应用。通过以不同的方式应用公开的本发明,或者在本公开内容的范围内修改本发明,可以获得许多其他的有利结果。因此,通过参考除了权利要求所限定的本发明的范围之外的发明概述和优选实施例的详细描述,结合附图,可以获得本发明的其他目的以及对本发明的更加全面的理解。

发明内容
为了概述本发明,本发明包括一种用于深硅沟槽蚀刻的改进方法和装置,其使用交替的周期性蚀刻工艺或者时分复用(TDM)工艺,以减少纵横比相关蚀刻(ARDE)。
更具体地,本发明提供了,使用实时度量设备(例如,双光斑干涉仪相机),随时间监视两个不同尺寸图形的蚀刻,计算蚀刻深度差信号,将该信号反馈到工艺控制器,并且调节工艺以使监视图形之间的蚀刻深度差最小。
本发明的特征在于,提供一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法。该方法包括将基板置于真空腔室中。该基板可以是半导体基板,诸如硅、砷化镓或者任何已知的半导体,包括化合物半导体,例如II族和VI族化合物以及III族和V族化合物。该基板也可以是导体或电介质材料,诸如玻璃或石英。在淀积步骤的过程中,借助于等离子体将钝化层淀积在基板上。第一等离子体是在真空腔室中由第一工艺气体生成的,该第一工艺气体诸如是八氟环丁烷,用于在基板上淀积钝化层。钝化层由聚合物或者碳氟化合物聚合物组成,或者可以是硅、碳、氮化物或者任何其他已知的可经由等离子体淀积的钝化材料。在移除步骤的过程中,借助于等离子体,移除至少一部分钝化层。第二等离子体是在真空腔室中由第二工艺气体生成的,该第二工艺气体诸如是六氟化硫、氩和氧的混合物,用于自基板移除钝化层。在蚀刻步骤的过程中,借助于等离子体,自基板蚀刻材料,该材料诸如是硅。第三等离子体是在真空腔室中由第三工艺气体生成的,该第三工艺气体诸如是六氟化硫,用于自基板蚀刻材料。执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环。实时地监视基板上的不同尺寸的图形。基于所监视的步骤控制工艺循环步骤,以减少ARDE。最后,在完成蚀刻工艺是,自真空腔室移除基板。
本发明的另一特征在于,提供一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法。该方法包括将基板置于真空腔室中。该基板可以是半导体基板,诸如硅、砷化镓或者任何已知的半导体,包括化合物半导体,例如II族和VI族化合物以及III族和V族化合物。该基板也可以是导体或电介质材料,诸如玻璃或石英。在淀积步骤的过程中,借助于等离子体将钝化层淀积在基板上。第一等离子体是在真空腔室中由第一工艺气体生成的,该第一工艺气体诸如是八氟环丁烷硫,用于在基板上淀积钝化层。钝化层由聚合物或者碳氟化合物聚合物组成,或者可以是硅、碳、氮化物或者任何其他已知的可经由等离子体淀积的钝化材料。在移除步骤的过程中,借助于等离子体,移除至少一部分钝化层。第二等离子体是在真空腔室中由第二工艺气体生成的,该第二工艺气体诸如是六氟化硫、氩和氧的混合物,用于自基板移除钝化层。在蚀刻步骤的过程中,借助于等离子体,自基板蚀刻材料,该材料诸如是硅。第三等离子体是在真空腔室中由第三工艺气体生成的,该第三工艺气体诸如是六氟化硫,用于自基板蚀刻材料。调节工艺参数,诸如淀积时间段、移除时间段和蚀刻时间段,以将工艺蚀刻、聚合物移除和淀积速率量化为纵横比的函数。该工艺参数可以进一步被限定为聚合物的淀积速率,可以通过提供给腔室中淀积气体的RF功率、流入腔室中的淀积气体的流速、或者腔室中的淀积气体的压力来控制该工艺参数。可替换地,该工艺参数可以进一步被限定为移除聚合物的速率,可以通过提供给腔室中移除气体的RF功率、流入腔室中的移除气体的流速、或者腔室中移除气体的压力来控制该工艺参数。可替换地,该工艺参数可以进一步被限定为蚀刻材料的速率,可以通过提供给腔室中蚀刻气体的RF功率、流入腔室中的蚀刻气体的流速、或者腔室中蚀刻气体的压力来控制该工艺参数。执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环。最后,在完成蚀刻工艺时,自真空腔室移除基板。
本发明的另一特征在于,提供一种用于减少基板等离子体蚀刻过程中的纵横比相关蚀刻的装置。该基板可以是半导体基板,诸如硅、砷化镓或者任何已知的半导体,包括化合物半导体,例如II族和VI族化合物以及III族和V族化合物。该基板也可以是导体或电介质材料,诸如玻璃或石英。该装置包括真空腔室,其具有至少一个气体供应源,用于向真空腔室中供应至少一种工艺气体;和排气装置,其与该真空腔室连通。基于相关联的工艺选择工艺气体,即,淀积聚合物(八氟环丁烷)、移除聚合物(六氟化硫、氩和氧的混合物)、或者自基板蚀刻材料(六氟化硫)。自基板蚀刻的材料通常是硅。下电极被安置在真空腔室中,用于将偏置施加到置于基板保持器上的基板,该基板保持器连接到下电极。该偏置可以由RF或DC电源供电。等离子体源在真空腔室中生成等离子体。通过工艺控制器控制所生成的等离子体,用于交替地借助于第一等离子体在基板上淀积钝化层,借助于第二等离子体移除至少一部分淀积的钝化层,并且借助于第三等离子体自基板蚀刻材料。差分干涉仪耦合到所述工艺控制器。该差分干涉仪生成投射到基板上的激光光束,并且实时地测量基板上至少两个不同尺寸图形之间的深度差,由此可以通过耦合到差分干涉仪的工艺控制器调节工艺。该工艺控制器响应于由差分干涉仪生成的信号,改变至少一个工艺参数,由此减少TDM工艺过程中的ARDE。
前面广泛地略述了本发明的更显著和更重要的特征,以便于能够更好地理解后面的本发明的详细描述,由此可以更加全面地认识对现有技术的贡献。下面将描述本发明的额外的特征,其形成了本发明的权利要求的主题。本领域的技术人员应当认识到,可容易地利用所公开的概念和具体实施例,作为用于修改或设计用于实现本发明的相同目的的其他的结构的基础。本领域的技术人员还应当认识到,该等同构造并不偏离所附权利要求中阐述的本发明的精神和范围。


图1是用于深硅蚀刻的TDM蚀刻工艺的图示;图2是使用用于深硅蚀刻的TDM工艺执行的蚀刻的扫描电子显微镜(SEM)照片,其示出了现有技术的ARDE外形;图3是使用现有技术的TDM工艺的图形蚀刻深度相对于图形ARDE宽度的曲线图;图4是使用TDM工艺的聚合物淀积速率相对于图形纵横比的曲线图;图5是使用TDM工艺的聚合物移除速率相对于图形纵横比的曲线图;图6是使用TDM工艺的各向同性硅蚀刻速率相对于图形纵横比的曲线图;图7是一个TDM周期的蚀刻深度相对于时间的曲线图;图8是一个TDM周期的蚀刻深度相对于时间的曲线图;图9是下述TDM工艺的蚀刻深度相对于时间的曲线图,在该工艺中,减少蚀刻时间,以使窄的和宽的图形具有相同的蚀刻深度,由此消除了ARDE;
图10是下述TDM工艺的蚀刻深度相对于时间的曲线图,在该工艺中,减少聚合物蚀刻速率,以使窄的和宽的图形具有相同的蚀刻深度,由此消除了ARDE;图11是下述TDM工艺的蚀刻深度相对于时间的曲线图,在该工艺中,增加淀积时间,以使窄的和宽的图形具有相同的蚀刻深度,由此消除了ARDE;图12是下述TDM工艺的蚀刻深度相对于时间的曲线图,在该工艺中,增加淀积速率,以使窄的和宽的图形具有相同的蚀刻深度,由此消除了ARDE;图13是使用用于深硅蚀刻的TDM工艺执行的蚀刻的扫描电子显微镜照片,其示出了通过调节子步骤蚀刻时间使ARDE最小;图14是蚀刻速率相对于图形宽度的曲线图,其示出了通过改变TDM工艺的蚀刻时间控制ARDE;图15是使用用于深硅蚀刻的TDM工艺执行的蚀刻的扫描电子显微镜照片,其示出了通过调节蚀刻子步骤时间使ARDE最小;图16是蚀刻速率相对于图形尺寸的曲线图,其示出了通过改变TDM工艺的蚀刻时间控制ARDE;图17是在一个TDM周期的过程中窄的图形和宽的图形之间的蚀刻深度差的曲线图示;图18是示出了在TDM硅蚀刻工艺中使用干涉仪的简化示意图;图19是示出了在TDM硅蚀刻工艺中使用干涉仪的简化示意图,其被配置为测量蚀刻深度差;图20是被配置用于提供TDM工艺中的实时ARDE控制的等离子体反应器的示意图;图21是用于在每个TDM周期终点维持至少两个图形之间的蚀刻深度差的工艺控制算法的流程图;并且图22是用于在每个TDM周期终点维持至少两个图形之间的蚀刻深度差的另一工艺控制算法的流程图。
在附图的数个示图中,相似的参考字符表示相似的部分。
具体实施例方式
我们公开了一种用于通过调节聚合物淀积、聚合物移除和/或自基板蚀刻材料,减少或消除TDM蚀刻工艺中的RIE滞后(ARDE)的改进的方法和装置。
我们还公开了,使用实时度量设备、差分干涉仪,用于生成指出至少两个不同尺寸图形之间的深度差的信号,以减少或消除TDM蚀刻工艺中的RIE滞后(ARDE)。
RIE滞后减少示例如由图1显而易见的,需要开始于三个基础物理工艺(聚合物淀积、聚合物移除和各向同性硅蚀刻),以便于减少或消除RIE滞后。所有三个子工艺的蚀刻和淀积特性均是图形尺寸(纵横比)相关的。然而,图形尺寸相关性对于这三个子工艺中的每一个是不同的。
在典型的TDM硅蚀刻工艺中,聚合物淀积步骤使用C4F8作为气体前体。该淀积工艺可以在存在或不存在RF偏置的情况下执行。在不具有RF偏置的情况中,存在最小的基板离子轰击。图4示出了作为图形纵横比函数的聚合物淀积速率的实验测量结果。在测试的压力范围上,在沟槽底部处测量的淀积速率随着纵横比的增加而下降(例如,对于固定的淀积时间,相比于具有相同深度的较宽的图形,较窄的图形接收较少的淀积)。
相比于聚合物淀积工艺,Ar/SF6基聚合物移除工艺部分是离子驱动的。然而,在聚合物移除步骤的过程中添加O2将驱使工艺走向离子辅助化学机制。图5示出了在纵横比范围上沟槽底部处的聚合物移除速率的实验数据。如测量结果所证实的,在该工艺条件下钝化移除速率是弱纵横比相关的,在较低的纵横比图形中,钝化移除是稍快的。
各向同性硅蚀刻工艺在本质上是更化学的(非离子驱动)。典型地,在TDM硅蚀刻工艺中,蚀刻子工艺过程中的RF偏置被设定为实现可接受的图形外形的最低值,以努力使硅蚀刻的掩膜的选择性最大。图6示出了作为纵横比函数的各向同性硅蚀刻速率。该各向同性硅蚀刻速率是纵横比的强函数,较小的纵横比显著具有较高的蚀刻速率。
为了更好地理解三个子工艺和ARDE之间的相互关联,构造了一个简单的模型,其中P(x)在淀积子工艺中淀积的聚合物厚度S(x)每个TDM周期的硅蚀刻深度Rcomp(x)在图形x中合成TDM周期Si的Si蚀刻速率Rdep(x)在图形x中聚合物淀积速率Rpoly(x)在图形x中聚合物移除速率Riso(x)在图形x中各向同性Si蚀刻的速率tdep淀积时间(在工艺配方中设定)tetch蚀刻时间(在工艺配方中设定)tpoly etch(x)移除聚合物钝化的时间tiso(x)在图形x中实际的各向同性Si蚀刻时间使用上面的项,我们可以计算下列项在一个周期中蚀刻的硅S(x)=Riso(x)*tiso(x)聚合物厚度P(x)=Rdep(x)*tdep聚合物移除时间tpoly etch(x)=P(x)/Rpoly(x)=Rdep(x)*tdep/Rpoly(x)各向同性硅蚀刻时间tiso(x)=tetch-tpoly etch(x)=tetch-(Rdep(x)*tdep/Rpoly(x))因此S(x)=Riso(x)*(tetch-(Rdep(x)*tdep/Rpoly(x)))基于上式,一个TDM循环中的合成硅蚀刻速率是各向同性硅蚀刻速率、聚合物淀积时间、聚合物移除速率、蚀刻时间和淀积时间的函数。
为了检查TDM该蚀刻工艺中的ARDE,考虑两个不同尺寸图形的合成硅蚀刻速率。
S(1)=Riso(1)*(tetch-(Rdep(1)*tdep/Rpoly(1)))S(2)=Riso(2)*(tetch-(Rdep(2)*tdep/Rpoly(2)))对于其中不存在ARDE的情况,对于不同的图形宽度,S(x)应是相同的。
S(1)=S(2)聚合物移除速率几乎独立于图形尺寸,因此我们可以使用如下近似Rpoly(1)~Rpoly(2)=Rpoly执行替换并且重新排列项,得到tetch=tdep*(Riso(1)*Rdep(1)-Riso(2)*Rdep(2))/(Rpoly*(Riso(1)-Riso(2)))上式使配方指明的蚀刻时间同配方指明的淀积时间关联,作为各向同性硅蚀刻速率、淀积速率和聚合物移除速率的函数。通过将工艺蚀刻、聚合物移除和淀积速率量化为纵横比的函数,并且选择适当的配方时间,可以减少TDM工艺中的RIE滞后。
相反地,对于指明的配方时间,可以调节工艺步骤,由此作为纵横比的函数的工艺蚀刻、聚合物移除和淀积速率的行为导致了最小的ARDE。
图7和8示出了在一个TDM周期过程中的两个具有不同宽度的图形的蚀刻深度。在点“A”处,两个图形开始于相同的深度。在蚀刻步骤的终点,点“B”处,由于较低纵横比图形中的较高聚合物淀积速率,较宽的图形中的淀积聚合物膜的厚度大于较窄的图形(图4)。蚀刻步骤的第一部分用于在各向同性硅蚀刻可以发生之前移除聚合物。由于聚合物移除速率几乎独立于纵横比(图5)并且小的图形开始于较薄的聚合物层,因此窄的图形将首先清除聚合物膜(点“C”)。这允许硅蚀刻在窄的图形中进行,同时继续清除较宽的图形中的剩余的聚合物。在清除了较宽的图形时(点“D”),窄的图形已蚀刻到硅中的一定深度。(基本上窄的图形获得“领先的”硅蚀刻,同时自较宽的图形清除额外的聚合物)。一旦从较宽的图形清除了聚合物,它们开始以比窄图形更高的速率蚀刻(图6)。在区间“E”中,宽的和窄的图形均进一步蚀刻到硅中。由于增加的各向同性蚀刻时间,因此窄的图形是较深的,但是较宽的图形以较快的速率蚀刻。在点“F”处,宽的和窄的图形达到相同的深度-此时停止蚀刻将导致不具有ARDE的工艺。当允许蚀刻前行通过点“F”时,较宽的图形相比于较小的图形继续较快地蚀刻,导致了典型的ARDE工艺。
基于该模型,对于开始于相同深度的图形,通过确保TDM周期终止于点“F”,可以实现零ARDE TDM工艺。如果图形开始于不同的深度,则可以调节TDM工艺,以补偿不同的开始深度,用于随着蚀刻的进行实现零滞后。该补偿可能耗用一个或多个周期来完成。
对于设定的TDM配方,存在四种用于调节工艺以实现零ARDE工艺的方法·调节配方蚀刻时间·调节聚合物移除速率·调节配方淀积时间·调节聚合物淀积速率图7中示意性示出的工艺(基线工艺)将呈现ARDE。
图9示出了基线工艺的修改方案,其中减少蚀刻时间,使得窄的和宽的图形具有相同的蚀刻深度,由此消除ARDE。
图10示出了基线工艺的修改方案,使得增加聚合物移除时间(聚合物移除速率降低)。这可以这样实现,即,改变淀积工艺子步骤使聚合物更耐蚀刻化学物质,或者修改蚀刻步骤使得在移除聚合物时效率较低。淀积和/或蚀刻子步骤中的工艺变化可以包括气体流量、气体组成、工艺压力、或者施加(到基板支撑或者高密度源)的RF功率中的变化。可替换地,可以使蚀刻步骤分为多个子步骤,使子步骤中的一个(优选地是第一个蚀刻子步骤)最优化,以实现导致零ARDE的聚合物移除速率。
图11示出了基线工艺的修改方案,使得增加淀积时间。增加淀积时间增加了淀积在图形中的聚合物的厚度。增加淀积的聚合物厚度将增加给定的蚀刻工艺的聚合物移除时间。
图12示出了基线工艺的修改方案,使得增加聚合物淀积速率。增加淀积的聚合物的厚度将增加给定蚀刻工艺的聚合物移除时间。增加淀积速率可以通过改变淀积子步骤中的一个或多个工艺变量实现。这些调节可以包括改变气体流量、气体组成、工艺压力、或者施加的RF功率。典型地淀积速率随着高密度工艺的增加、工艺压力的增加和气体流量的增加而增加。
尽管所示的基线工艺导致了ARDE,但是可以获得呈现出反转的ARDE的基线工艺(较小的图形蚀刻得较快)。上文所述的四种方法还可以用于使用相似的方法调节基线工艺以减少反转ARDE。
图13示出了通过调节子步骤蚀刻时间使ARDE最小。SEM截面“E”对应于图7中的范围“E”中的点。在模型预测时,TDM蚀刻工艺呈现出反转ARDE,窄的图形比较宽的图形蚀刻得更快。图13的SEM截面“F”对应于图7中的点“F”附近的工艺。图14中的图形深度相对于沟槽宽度的测量结果(F系列)呈现出,对于具有10μm至100μm范围宽度的沟槽,ARDE被减少到小于5%。这与现有技术的工艺(图3)比较,对于具有10μm至100μm范围宽度的沟槽,现有技术的工艺呈现出30%的ARDE。图13的SEM截面“G”对应于图7中的范围“G”中的点。在该情况中,较宽的图形比窄的图形蚀刻得更快(典型地ARDE)。
图15示出了使用调节蚀刻子步骤时间的方法使ARDE最小而得到的宽度范围为自5μm至40μm的图形的SEM截面。图16示出了由图15的SEM截面测量得到的蚀刻深度相对于图形尺寸的图线。
在5μm至100μm范围的图形上的ARDE从38%减少到10%。在2.5μm至100μm范围的图形上的ARDE从53%减少到23%。
在本发明的另一实施例中,使用干涉仪在TDM工艺过程中测量至少两个不同尺寸的图形之间的蚀刻深度差。为了监视两个不同尺寸的图形之间的蚀刻深度差,有利的是,观察TDM工艺过程中的蚀刻深度差的行为。
图17示出了在一个TDM周期中窄的图形和宽的图形之间的蚀刻深度差。该曲线图示出了两个开始于相同深度的图形(初始蚀刻深度增量为零)。在该周期的淀积子步骤的过程中,窄的和宽的图形之间的蚀刻深度差大于零(较宽的图形具有较高的淀积速率)。一旦开始蚀刻子步骤,则蚀刻增量将显现具有大于零的值的小的负斜率。这反映了宽的和窄的图形中的聚合物移除(尽管在较宽的图形中是稍快的)。一旦在窄的图形中清除了聚合物(由于它们在淀积过程中接收了较薄的膜),则硅将开始在窄的图形中蚀刻(较宽的图形仍通过聚合物钝化)。在该阶段过程中(窄的图形蚀刻硅,较宽的图形仍清除聚合物),蚀刻深度差的斜率变得大于零,而蚀刻深度差值保持大于零。一旦较宽的图形清除了聚合物钝化层并且开始蚀刻,则蚀刻深度差的斜率再一次变成负的(较宽的图形具有比窄的图形高的各向同性硅蚀刻速率)。最初在清除聚合物并且两种图形开始蚀刻时,蚀刻深度差值仍大于零(小的图形具有较深的反转ARDE)。在剩余的蚀刻步骤的过程中的某些时间点处,较宽的图形的深度将追上并且等于窄的图形(最小ARDE)。如果允许蚀刻步骤前行通过该时间,则较宽的图形继续较快地蚀刻(负的蚀刻差斜率)并且蚀刻深度差将变为负的(较宽的图形比窄的图形深)。在该点处,该工艺将产生现有技术的ARDE外形(图2)。
现有技术中已知,使用双光斑干涉仪相机(twin spot interferometriccamera)(例如,Jobin Yvon Horiba的TDM-200),在TDM硅蚀刻工艺过程中测量图形的深度。图18示出了干涉仪相机及其典型的对TDM硅蚀刻工艺的应用的简化示意图。在该配置中,激光束中的一个被置于所关注的图形上,而另一光束被安置在基板的掩蔽部分上。在蚀刻过程中,在待蚀刻图形上的光束的路径长度增加,引起了其自身同安置在掩蔽表面上的参考光束之间的相位差。在该配置中,可以监视图形相对于掩蔽表面的蚀刻速率和蚀刻深度。TDM-200具有典型的30μm的光束直径,以及典型的240μm的光束间隔。
本发明人发现,通过使用双光斑干涉仪相机可以测量两个不同尺寸的图形之间的蚀刻深度差。图19示出了被配置用于测量蚀刻深度差的干涉仪相机的简化示意图。尽管将激光束安置在具有小于光束直径尺寸的图形密集阵列中仍可以工作,但是理想地,激光束将配合在待测量的图形中。在该配置中,相机将测量两个图形的蚀刻深度差,但是不测量单独图形的蚀刻速率或绝对蚀刻深度。配备有三个或更多的光束的相机(一个在掩膜表面上,一个在较窄的图形上,一个在较宽的图形上)将允许同时测量两个或更多图形的绝对蚀刻深度、蚀刻速率差和蚀刻速率。
在器件掩膜中并入测试图形以协助ARDE测量是有用的。在双光斑干涉仪相机的情况中,构造由中心位于环中的开圆(open circle)组成的测试图形是有用的。该圆的尺寸可以对应于所关注的最宽的图形。环的厚度可以对应于所关注的最窄的图形尺寸。环的半径可以对应于两个激光束之间的距离。如果外环的宽度小于激光光斑尺寸,则可以使用一系列的同心环或者环形的图形阵列,以改善蚀刻深度差的测量的信号噪声比。
可替换地,测试图案可以由密集的孔阵列组成。孔的尺寸可以小于光束尺寸。阵列的尺寸应大于光束。较宽图形和较窄图形阵列的中心应理想地隔开与双光束间隔相同的距离。
在工艺过程总实时地测量宽的和窄的图形之间的蚀刻深度差的能力,允许许多用于控制ARDE的控制方案。
在本发明中,使用实时度量方法(例如,双光斑干涉仪相机)监视两个不同尺寸的图形随时间的蚀刻,计算蚀刻深度差信号,将该信号反馈到工艺控制器,并且调节TDM工艺以使所监视的图形之间的蚀刻深度差最小。
图20示出了被配置用于在TDM工艺过程中实时控制ARDE的等离子体反应器的示意图。TDM处理腔室由下列部件组成真空腔室12,其具有腔室12中的基板支撑14;气体供应源16,用于引入工艺气体;高密度等离子体源18,用于生成等离子体;第一电压源20,其具有第一组抗匹配22,用于向高密度等离子体源18施加电压;第二电压源24(具有阻抗匹配26的DC或RF),用于向基板支撑14施加电压;用于压力控制的机构(例如,节流阀);排气装置28,用于排空腔室12;和工艺控制器30,用于维持所需的工艺设定点(例如,气体流量、压力、电压等)。实时蚀刻深度差监视器40(例如,双光束干涉仪相机)测量至少两个不同图形尺寸之间的蚀刻深度差。该蚀刻深度差同所需的蚀刻深度差比较。如果测量值和所需值之间的差大于所允许的误差容限,则工艺控制器在当前的或后继的TDM周期过程中调节工艺,以达到所需的蚀刻深度差值。
一种用于调节TDM工艺的方法是下述工艺控制算法,即该工艺控制算法通过调节蚀刻步骤(或子步骤)的蚀刻时间,在每个TDM周期的终点,维持至少两个图形之间的蚀刻深度差。在图21中示出了该方法的流程图。在该方法中,通过用户录入的值,或者通过图形之间的当前EDD的直接测量,确定两个图形之间的所需蚀刻深度差(EDD)。典型地,所需EDD值是零(无ARDE)。一旦选择了所需的值,则开始TDM工艺。在TDM周期的过程中,测量所述差之间的EDD,并且将其同所需值比较。对于二步骤(淀积和蚀刻)TDM硅蚀刻,蚀刻深度差将遵循与图17相似的曲线。在TDM周期的蚀刻步骤开始时,EDD(窄的图形-宽的图形)将大于零。随着蚀刻步骤的进行,EDD将获得具有大于零的值的负斜率。对于所需EDD值是零的情况,控制器监视EDD并且在测得EDD值是零(或所需值)时终止蚀刻步骤。在该配置中,控制器在一个周期中控制EDD。
重要的是,应当注意,上面的示例假设传统的ARDE的存在(高纵横比的图形蚀刻得较慢)。使用TDM硅蚀刻工艺,已观察到反转ARDE。可以使用相似的方法在TDM硅蚀刻过程中测量和修正反转(inverse)ARDE。
图22示出了用于调节TDM工艺的可替换的实时ARDE控制算法。在该情况中,设定EDD标准,执行用户指明的TDM周期,在TDM周期过程中测量EDD,将测量的EDD同所需的EDD比较。如果存在差异,则软件控制器修改周期配方,以使测量EDD和实际EDD之间的差最小。在该配置中,控制器在TDM工艺中逐个周期地控制EDD。
上面的示例讨论了关于TDM硅蚀刻工艺的ARDE最小化。重要的是,应当注意,可以将在线度量和工艺反馈算法应用于许多材料系统,该许多材料系统包括化合物半导体(例如,GaAs、InP、SiGe等)、金属(例如,Al、Mo、Ti、W、Cr)和电介质(例如,SiN、聚合物等)。而且,该方法没有必要限于应用到TDM工艺,而是可是应用到传统工艺。
本公开内容包括所附权利要求中包含的内容,以及前面的描述的内容。尽管本发明以其具有一定程度的特殊性的优选的方式得到了描述,但是应当理解,优选形式的本公开内容仅作为示例,并且在不偏离本发明的精神和范围的前提下,可以采用部件的构造和组合以及排列的细节的多种修改。
现已描述了本发明。
权利要求
1.一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,所述方法包括将基板置于真空腔室中;借助于等离子体,在所述基板上淀积钝化层;借助于等离子体,移除至少一部分所述钝化层;借助于等离子体,自所述基板蚀刻材料;执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;随时间监视所述基板上的不同尺寸的图形;基于所述监视步骤,控制工艺循环步骤;和自真空腔室移除基板。
2.如权利要求1所述的方法,其中所述监视步骤进一步包括由差分干涉仪生成初始信号;在开始所述工艺循环步骤之前,评估所述初始信号;在所述工艺循环步骤过程中,由所述差分干涉仪生成工艺信号;将所述工艺信号同所述初始信号比较;和当所述工艺信号约等于所述初始信号时,终止工艺循环步骤。
3.如权利要求1所述的方法,其中所述蚀刻步骤进一步包括多个子步骤。
4.如权利要求1所述的方法,其中所述蚀刻步骤进一步包括至少一个各向同性子步骤。
5.一种用于减少等离子体蚀刻工艺中的纵横比相关蚀刻的方法,所述方法包括将基板置于真空腔室中;借助于等离子体,在所述基板上淀积聚合物;借助于等离子体,移除至少一部分所述聚合物;借助于等离子体,自所述基板蚀刻材料;执行重复淀积步骤、移除步骤和蚀刻步骤的工艺循环;在至少一个步骤中调节工艺参数,以获得至少两个不同尺寸图形的相等蚀刻深度;和自真空腔室移除基板。
6.如权利要求5所述的方法,其中所述工艺参数是淀积时间段。
7.如权利要求5所述的方法,其中所述工艺参数是移除时间段。
8.如权利要求5所述的方法,其中所述工艺参数是蚀刻时间段。
9.如权利要求5所述的方法,其中所述工艺参数是聚合物的淀积速率。
10.如权利要求9所述的方法,其中通过提供给所述腔室中淀积气体的RF功率,控制所述聚合物的淀积速率。
11.如权利要求9所述的方法,其中通过流入所述腔室中的淀积气体的流速,控制所述聚合物的淀积速率。
12.如权利要求9所述的方法,其中通过所述腔室中淀积气体的压力,控制所述聚合物的淀积速率。
13.如权利要求5所述的方法,其中所述工艺参数是聚合物的移除速率。
14.如权利要求13所述的方法,其中通过提供给所述腔室中移除气体的RF功率,控制所述聚合物的移除速率。
15.如权利要求13所述的方法,其中通过流入所述腔室中的移除气体的流速,控制所述聚合物的移除速率。
16.如权利要求13所述的方法,其中通过所述腔室中移除气体的压力,控制所述聚合物的移除速率。
17.如权利要求5所述的方法,其中所述工艺参数是材料的蚀刻速率。
18.如权利要求17所述的方法,其中通过提供给所述腔室中蚀刻气体的RF功率,控制所述材料的蚀刻速率。
19.如权利要求17所述的方法,其中通过流入所述腔室中的蚀刻气体的流速,控制所述材料的蚀刻速率。
20.如权利要求17所述的方法,其中通过所述腔室中蚀刻气体的压力,控制所述材料的蚀刻速率。
21.一种用于减少基板的等离子体蚀刻过程中的纵横比相关蚀刻的装置,包括真空腔室;至少一个气体供应源,用于将至少一种工艺气体供应到所述真空腔室中;排气装置,其与所述真空腔室连通;下电极,其安置在所述真空腔室中;基板保持器,其连接到所述下电极;等离子体源,用于在所述真空腔室中生成等离子体;工艺控制器,用于交替地借助于等离子体在基板上淀积钝化层,借助于等离子体移除至少一部分淀积的钝化层,并且借助于等离子体自基板蚀刻材料;和差分干涉仪,其耦合到所述工艺控制器,所述差分干涉仪生成指出至少两个不同尺寸图形之间的深度差的信号,并且所述工艺控制器响应于由所述差分干涉仪生成的信号,改变至少一个工艺参数。
22.如权利要求21所述的装置,其中所述工艺参数是提供给所述腔室中所述工艺气体的RF功率。
23.如权利要求21所述的装置,其中所述工艺参数是流入所述腔室中的所述工艺气体的流速。
24.如权利要求21所述的装置,其中所述工艺参数是所述腔室中所述工艺气体的压力。
25.如权利要求21所述的装置,其中所述工艺控制器能够执行下列步骤在启动所述等离子体控制系统之前,评估由所述差分干涉仪生成的初始信号;在所述等离子体控制系统的操作过程中,通过所述差分干涉仪监视工艺信号;将工艺信号同初始信号比较;和当工艺信号约等于初始信号时,终止所述等离子体控制系统的操作。
26.如权利要求21所述的装置,其中所述差分干涉仪测量相位差。
27.如权利要求21所述的装置,其中所述差分干涉仪使用激光器作为光源。
28.如权利要求21所述的装置,其中所述差分干涉仪具有直径约为50微米的激光光斑尺寸。
全文摘要
本发明提供了一种用于减少纵横比相关蚀刻的方法和装置,该纵横比相关蚀刻通过交替的淀积/蚀刻工艺在半导体基板中等离子体蚀刻深的沟槽时观察到。在交替的淀积/蚀刻工艺过程中,实时地监视基板上的多个不同尺寸的图形。然后,基于自监视器接收的信息,在交替的淀积/蚀刻工艺中调节至少一个工艺参数,以获得基板上的至少两个不同尺寸图形的相等蚀刻深度。
文档编号H01L21/302GK1977364SQ200580022125
公开日2007年6月6日 申请日期2005年6月23日 优先权日2004年6月29日
发明者赖守亮, 大卫·约翰逊, 拉塞尔·韦斯特曼 申请人:优利讯美国有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1