半导体装置的制作方法

文档序号:24341491发布日期:2021-03-19 12:23阅读:43来源:国知局
半导体装置的制作方法

本公开实施例涉及多栅极晶体管与其制作方法,更特别涉及在制作全绕式栅极晶体管时形成内侧间隔物的方法。



背景技术:

半导体集成电路产业已经历指数成长。集成电路材料与设计的技术进展,使每一代的集成电路比前一代的集成电路具有更小且更复杂的电路。在集成电路演进中,功能密度(比如单位芯片面积的内连线装置数目)通常随着几何尺寸(比如采用的制作制程所能产生的最小构件或线路)缩小而增加。尺寸缩小的制程通常有利于增加产能并降低相关成本。尺寸缩小亦增加处理与形成集成电路的复杂度。

举例来说,集成电路技术朝更小的技术节点演进,导入多栅极装置可增加栅极-通道耦合、减少关闭状态的电流、并减少短通道效应以改善栅极控制。多栅极装置通常指的是具有栅极结构或其部分位于通道区的多侧上的装置。鳍状场效晶体管与全绕式栅极晶体管(均视作非平面晶体管)为多栅极装置的例子,其已成为高性能与低漏电流应用的泛用及有力候选。鳍状场效晶体管具有隆起的通道,且栅极包覆通道的多侧(比如栅极包覆自基板延伸的半导体材料的鳍状物的顶部与侧壁)。与平面晶体管相较,此设置可较佳地控制通道并大幅降低短通道效应(特别通过减少次临界漏电流如关闭状态下的鳍状场效晶体管的源极与漏极之间的耦合)。全绕式栅极晶体管的栅极结构可部分或完全延伸包覆通道区,以自两侧或更多侧控制通道区。全绕式栅极晶体管的通道区可由纳米线、纳米片、其他纳米结构、及/或其他合适结构所形成。在一些实施方式中,此通道区包括多个垂直堆叠的纳米结构(其水平延伸以提供水平配向的通道)。此全绕式栅极晶体管可视作垂直堆叠的水平全绕式栅极晶体管。

在全绕式栅极装置中,内侧间隔物用于减少栅极结构与源极/漏极结构之间的电容与漏电流。虽然具有内侧间隔物的现有全绕式栅极装置适用于其发展目的,但不符合所有方面的需求。



技术实现要素:

在本公开一例示性的实施例中,半导体装置包括第一半导体通道膜,与第二半导体通道膜位于第一半导体通道膜上;以及含硅与氮的多孔介电结构。多孔介电结构夹设于第一半导体通道膜与第二半导体通道膜之间,且多孔介电结构的密度小于氮化硅的密度。

在本公开另一例示性的实施例中,半导体装置的制作方法包括提供鳍状单元,其包括交错的多个第一半导体层与多个第二半导体层;形成虚置栅极结构于鳍状单元的通道区上;蚀刻鳍状单元的源极/漏极区以露出第一半导体层的侧壁与第二半导体层的侧壁;使第二半导体层的露出侧壁选择性且部分地凹陷,以形成多个凹陷;采用有机硅烷前驱物与含氮气体沉积内侧间隔物层于凹陷上;处理内侧间隔物层;以及回蚀刻内侧间隔物层。

在本公开又一例示性的实施例中,半导体装置的制作方法包括提供鳍状单元,其包括交错的多个第一半导体层与多个第二半导体层;形成虚置栅极结构于鳍状单元的通道区上;蚀刻鳍状单元的源极/漏极区,以露出第一半导体层的侧壁与第二半导体层的侧壁;使第二半导体层的露出侧壁选择性且部分地凹陷,以形成多个凹陷;沉积含硅与氮的内侧间隔物层;处理内侧间隔物层;以及回蚀刻内侧间隔物层,以形成多孔的氮化硅层,且氮化硅比多孔的氮化硅层致密。

附图说明

图1是本公开一或多个实施例中,含有内侧间隔物结构的全绕式栅极装置的形成方法的流程图。

图2a、图2b、图3至图6、图7a、图7b、图7c、与图8至图12是本公开一或多个实施例中,依据图1的方法制作的工件于制程时的部分剖视图。

其中,附图标记说明如下:

100:方法

102,104,106,108,110,112,114,116,118,120,122,124,126,128:步骤

200:工件

202:基板

203:浅沟槽隔离结构

204:外延堆叠

205:沟槽

206,208:外延层

210:鳍状单元

210a:下侧部分

210b:上侧部分

211:虚置介电层

212:虚置栅极堆叠

214:虚置电极层

216:氧化物层

218:氮化物层

220:硬遮罩

222:栅极间隔物

224:源极/漏极沟槽

226:内侧间隔物凹陷

228:内侧间隔物层

230:源极/漏极结构

232:接点蚀刻停止层

234:层间介电层

238:金属栅极堆叠

240:界面层

242:高介电常数的栅极介电层

244:栅极层

246:硅化物层

248:源极/漏极接点

300:退火制程

400:紫外线固化制程

500:远端等离子体处理制程

1000:通道区

2000:源极/漏极区

具体实施方式

下述内容提供的不同实施例或实例可实施本公开的不同结构。下述特定构件与排列的实施例是用以简化本公开内容而非局限本公开。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,本公开的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。

此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、“较上方”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。此外,当数值或数值范围的描述有“约”、“近似”、或类似用语时,除非特别说明否则其包含所述数值的+/-10%。举例来说,用语“约5nm”包含的尺寸范围介于4.5nm至5.5nm之间。

本公开实施例涉及多栅极晶体管与其制作方法,更特别涉及在制作全绕式栅极晶体管时形成内侧间隔物的方法。

多栅极晶体管包括的晶体管其栅极结构形成于通道区的至少两侧上。多栅极装置可包含p型金属氧化物半导体装置或n型金属氧化物半导体装置。多栅极晶体管的例子包含鳍状场效晶体管(其名称来自于鳍状结构)与全绕式栅极装置。全绕式栅极装置可包含栅极结构或其部分,其形成于通道区的四侧上(围绕通道区的一部分)。本公开实施例可具有通道区位于纳米线通道、棒状通道、纳米片通道、纳米结构通道、柱状通道、及/或其他合适的通道设置中。本公开实施例的装置可具有与单一的连续栅极结构相关的一或多个通道区(如纳米线、纳米片、或纳米结构)。然而本技术领中技术人员应理解,本公开的技术可用于单一通道(如单一纳米线、单一纳米片、或单一纳米结构)或任何数目的通道。本技术领域中技术人员应理解半导体装置的其他例子亦可受益于本公开实施例。

随着鳍状场效晶体管中的鳍状物宽度缩小,通道宽度的变数可能造成不想要的变数与迁移率损失。研究全绕式栅极晶体管以取代鳍状场效晶体管。在全绕式栅极晶体管中,晶体管的栅极完全围绕通道,因此通道被栅极围绕。此晶体管的优点为改善栅极对通道的静态电控制,其亦减少漏电流。全绕式栅极晶体管包括多种间隔物,比如内侧间隔物与栅极间隔物(又称作多晶硅间隔物、外侧间隔物、顶间隔物、或主要间隔物)。内侧间隔物可用于降低栅极结构与源极/漏极结构之间的电容,并避免栅极结构与源极/漏极结构之间的漏电流。全绕式栅极晶体管中的内侧间隔物的完整性仍具挑战。对装置效能而言,内侧间隔物需要低介电常数的介电材料如氧化硅而非高介电常数的介电材料如氮化硅,因为低介电常数的内侧间隔物可降低寄生电容。就制程整合而言,内侧间隔物层的组成通常不只有氧化硅,因为形成氧化硅层的方法关于氧化制程,其亦可氧化外延堆叠中的硅与锗并造成缺陷。就蚀刻选择性而言,当内侧间隔物层的组成为氮化硅时,拉回内侧间隔物层的制程可选择性地移除氮化硅的内侧间隔物,且实质上不损伤虚置栅极结构上的栅极间隔物层。本公开实施例的内侧间隔物结构的形成方法,可为采用有机硅烷前区物与含氮气体的原子层沉积方法沉积内侧间隔物层、处理内侧间隔物层、接着回蚀刻处理后的内侧间隔物层。由本公开实施例的方法所形成的内侧间隔物结构,可包含多孔氮化硅材料。多孔氮化硅材料的介电常数低于氮化硅,其形成制程不会损伤外延堆叠,且多孔氮化硅材料与栅极间隔物之间具有蚀刻选择性。因此本公开实施例的内侧间隔物结构的组成与结构可加大内侧间隔物形成制程的制程容许范围,并改善装置效能。

图1显示形成半导体装置如多栅极装置的方法100。此处所述的用语“多栅极装置”指的是装置(如半导体装置)具有至少一些栅极材料位于装置的至少一通道的多侧上。在一些例子中,多栅极装置可视作具有栅极材料位于装置的至少一通道的至少四侧上的全绕式栅极装置。通道区可视作纳米线、纳米片、纳米结构、通道膜、或半导体通道膜,其可包含多种形状(如圆柱状、棒状、或片状)与多种尺寸的通道区。

与此处所述的其他方法与例示性装置的实施例一样,应理解图2a、图2b、图3至图6、图7a、图7b、图7c、与图8至图12所示的工件200的部分的制作方法可为互补式金属氧化物半导体技术制程流程,因此仅简述一些制程于此。在完成制作制程后,工件200将转为半导体装置。在此意义上,工件200与半导体装置可交替使用。此外,例示性的半导体装置可包含多种其他装置与结构,比如其他种类的装置如额外晶体管、双极接面晶体管(双极结型晶体管)、电阻、电容、电感、二极管、熔丝、静态随机存取存储器、及/或其他逻辑电路、或类似物,但简化相关说明以利理解本公开实施例的发明概念。在一些实施例中,例示性装置包含多个半导体装置如晶体管,其包含n型全绕式栅极晶体管、p型全绕式栅极晶体管、p型场效晶体管、n型场效晶体管、或类似物,且半导体装置可内连线。此外值得注意的是,方法100的制程步骤包含图2a、图2b、图3至图6、图7a、图7b、图7c、与图8-图12所示的任何步骤,以及本公开实施例提供的例示性附图与其余方法,仅用于举例说明而非局限本公开实施例至权利要求未实际记载处。

如图1、图2a、与图2b所示,方法100包含的步骤102图案化基板202上的外延堆叠204,以形成鳍状单元210。图2a显示工件200沿着x方向(鳍状单元210的长度方向)的部分剖视图,图2b显示工件200沿着y方向(越过鳍状单元210的方向)的部分剖视图。在一些实施例中,工件200的基板202可为半导体基板如硅基板。基板202可包含多种层状物(包含导电或绝缘层)形成于半导体基板上。基板202可包含多种掺杂设置,端视本技术领域已知的设计需求而定。举例来说,可在基板202上的区域中形成不同的掺杂轮廓(如n型井或p型井),且区域设计为用于不同装置型态(如n型全绕式栅极晶体管或p型全绕式栅极晶体管)。合适的掺杂方法可包含离子布植掺质及/或扩散制程。基板202可具有隔离结构夹设于不同装置型态的区域之间。基板202亦可包含其他半导体如锗、碳化硅、硅锗、或钻石。在其他实施例中,基板202可包含半导体化合物及/或半导体合金。此外,基板202可视情况包括外延层、可具有应力以增进效能、可包含绝缘层上硅结构、及/或可具有其他合适的增进结构。在方法100的一实施例中,可进行抗击穿布植。举例来说,可在装置的通道区下进行抗击穿布植,以避免击穿或不想要的扩散。

在一些实施例中,形成于基板202上的外延堆叠204包含第一组成的外延层206夹设于第二组成的外延层208之间。第一组成与第二组成可不同。在一实施例中,外延层206为硅锗而外延层208为硅。然而其他可能的实施例包含的第一组成与第二组成具有不同的氧化速率及/或蚀刻选择性。在一些实施例中,外延层206包括硅锗,而外延层208包括硅。在这些实施例中,外延层206中的锗含量可介于约15%至约40%之间。

值得注意的是,图2a-2b显示三个外延层206与三个外延层208交错配置,但此仅用于说明目的而非局限本公开实施例至权利要求未实际记载处。可以理解的是,可形成任何数目的外延层于外延堆叠204中。外延层的数目取决于工件200所用的通道膜所需的数目。在一些实施例中,外延层208的数目介于2至10之间。

在一些实施例中,每一外延层206的厚度为约2nm至约6nm,比如具体例子中的3nm。外延层206可具有实质上一致的厚度。在一些实施例中,每一外延层208的厚度为约6nm至约12nm,比如具体例子中的9nm。在一些实施例中,外延堆叠204的外延层208具有实质上一致的厚度。如下详述,外延层208或其部分可作为后续形成的多栅极装置所用的通道膜,且外延层208的厚度取决于装置效能考量。通道区中的外延层206最后将被移除,以定义后续形成的多栅极装置所用的相邻通道区之间的垂直距离,且外延层206的厚度取决于装置效能考量。综上所述,外延层206亦可视作牺牲层,而外延层208可视作通道层。

举例来说,外延成长外延堆叠204的层状物的方法可为分子束外延制程、有机金属化学气相沉积制程、及/或其他合适的外延成长制程。在一些实施例中,外延成长的层状物如外延层208可与基板202包含相同材料。在一些实施例中,外延成长的外延层206及208可与基板202包含不同材料。如上所述,至少一些实施例的外延层206包含外延成长的硅锗层,而外延层208包含外延成长的硅层。在一些其他实施例中,外延层206与208可包含其他材料如锗、半导体化合物(如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、及/或锑化铟)、半导体合金(如硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、及/或磷砷化镓铟)、或上述的组合。如上述说明,外延层206与208的材料选择,基于提供不同氧化特性与蚀刻选择性的需求。在一些实施例中,外延层206与208实质上不含掺质(比如外质掺质浓度为约0cm-3至约1x1017cm-3),比如在外延成长制程时不刻意进行掺杂。

在步骤102中,图案化基板202上的外延堆叠204,以形成自基板202延伸并沿着x方向横越的鳍状单元210。值得注意的是,图2a以及图3至图6、图7a、图7b、图7c、与图8至图12只显示部分剖视图,而不必显示鳍状单元210的完整长度。如图2b所示的一些实施例,图案化步骤亦蚀刻至基板202中,使每一鳍状单元210包含由基板202形成的下侧部分210a,以及由外延堆叠204形成的上侧部分210b。上侧部分210b包括含有外延层206与208的外延堆叠204的每一外延层。鳍状单元210的制作方法可采用合适制程,其包含双重图案化或多重图案化制程。一般而言,双重图案化或多重图案化至成结合光微影与自对准制程,其产生的图案间距小于采用单一的直接光微影制程所得的图案间距。举例来说,一实施例形成牺牲层于基板上,并采用光微影制程图案化牺牲层。采用自对准制程,以沿着图案化的牺牲层侧部形成间隔物。接着移除牺牲层,而保留的间隔物或芯之后可用于图案化鳍状单元210,且图案化方法可为蚀刻外延堆叠204。蚀刻制程可包含干蚀刻、湿蚀刻、反应性离子蚀刻、及/或其他合适制程。

如图2a-2b所示,方法100的步骤102形成浅沟槽隔离结构203于相邻的鳍状单元210之间。举例来说,一些实施例先沉积介电层于基板202上,以将介电材料填入沟槽205。在一些实施例中,介电层可包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、低介电常数的介电层、上述的组合、及/或其他合适材料。在多种例子中,介电层的沉积方法可为化学气相沉积制程、次压化学气相沉积制程、可流动的化学气相沉积制程、原子层沉积制程、物理气相沉积制程、及/或其他合适制程。接着薄化与平坦化沉积的介电材料,比如进行化学机械研磨制程。以干蚀刻制程、湿蚀刻制程、及/或上述的组合使平坦化的介电层进一步凹陷,以形成浅沟槽隔离结构203。鳍状单元210隆起高于浅沟槽隔离结构203。在一些实施例中,介电层(与后续形成的浅沟槽隔离结构203)可包含多层结构,比如具有一或多个衬垫层。

虽然未图示,一些实施例的方法100的步骤102可形成介电鳍状物。这些实施例在沉积介电材料形成介电层之后,图案化介电层以形成狭缝,且狭缝的延伸方向平行于鳍状单元210。接着沉积介电鳍状物所用的材料于工件200上以填入狭缝。介电鳍状物所用的材料,与形成浅沟槽隔离结构203的介电材料不同。这可在使浅沟槽隔离结构203所用的介电层凹陷时选择性蚀刻介电层,并使保留的介电鳍状物隆起高于浅沟槽隔离结构203。在一些实施例中,介电鳍状物所用的材料可包含氮化硅、碳氮化硅、碳化硅、氧化铝、氧化锆、或其他合适材料。介电鳍状物夹设于鳍状单元210之间,且可用于分隔相邻装置的源极/漏极结构。介电鳍状物亦可视作虚置鳍状物或混合鳍状物。在一些其他实施例中,在栅极切割制程时可移除介电鳍状物的上侧部分,并置换为介电材料,且介电材料与介电鳍状物可不同或类似。

如图1与图3所示,方法100包含的步骤104形成虚置栅极堆叠212于鳍状单元210的通道区1000上。在一些实施例中,采用栅极置换或栅极后制制程,且虚置栅极堆叠212作为高介电常数的栅极介电层与金属栅极的堆叠的占位物,之后将移除并置换为高介电常数的栅极介电层与金属栅极的堆叠。亦可采用其他制程与设置。在一些实施例中,虚置栅极堆叠212形成于基板202上,且至少部分地位于鳍状单元210上。位于虚置栅极堆叠212之下的鳍状单元210的部分为通道区1000。虚置栅极堆叠212亦可定义与通道区1000相邻并位于通道区1000的两侧上的源极/漏极区2000。

在所述实施例中,步骤104先形成虚置介电层211于鳍状单元210上。在一些实施例中,虚置介电层211可包含氧化硅、氮化硅、高介电常数的介电材料、及/或其他合适材料。在多种例子中,虚置介电层211的沉积方法可为化学气相沉积制程、次压化学气相沉积制程、可流动的化学气相沉积制程、原子层沉积制程、物理气相沉积制程、或其他合适制程。举例来说,虚置介电层211在后续制程中(如后续形成虚置栅极堆叠的制程),可用于避免损伤鳍状单元210。步骤104之后形成虚置栅极堆叠212的其他部分如虚置电极层214与硬遮罩220,且硬遮罩220可包含多个层状物如氧化物层216与氮化物层218。在一些实施例中,虚置栅极堆叠212的形成方法为多种制程步骤,比如层状物的沉积、图案化、蚀刻、以及其他合适的制程步骤。例示性的层状物沉积制程包含化学气相沉积(包含低压化学气相沉积与等离子体辅助化学气相沉积)、物理气相沉积、原子层沉积、热氧化、电子束蒸镀、其他合适的沉积技术、或上述的组合。举例来说,图案化制程可包含微影制程(如光微影或电子束微影),其可进一步包含涂布光阻(如旋转涂布)、软烘烤、对准光罩、曝光、曝光后烘烤、显影光阻、冲洗、干燥(如旋干及/或硬烘烤)、其他合适的微影技术、及/或上述的组合。在一些实施例中,蚀刻制程可包含干蚀刻(如反应性离子蚀刻)、湿蚀刻、及/或其他蚀刻法。在一些实施例中,虚置电极层214可包含多晶硅。在一些实施例中,硬遮罩220包含氧化物层216如垫氧化物层,其可包含氧化硅。在一些实施例中,硬遮罩220包含氮化物层218如垫氮化物层,其可包含氮化硅及/或氮氧化硅。在一些实施例中,氮化物层218可改为碳化硅。

如图3所示的一些实施例,在形成虚置栅极堆叠212之后,自鳍状单元210的源极/漏极区2000移除虚置介电层211,即移除虚置电极层214未覆盖的虚置介电层211。移除制程可包含湿蚀刻、干蚀刻、及/或上述的组合。蚀刻制程择以选择性蚀刻虚置介电层211,且实质上不蚀刻鳍状单元210、硬遮罩220、与虚置电极层214。

如图1与图3所示,方法100包含的步骤106形成栅极间隔物222于虚置栅极堆叠212的侧壁上。在一些实施例中,栅极间隔物所用的间隔物材料可顺应性地沉积于工件200上,包含沉积于虚置栅极堆叠212的上表面与侧壁上,以形成间隔物材料层。此处可采用用语“顺应性”,以说明在不同区域上的厚度实质上一致的层状物。间隔物材料可包括介电材料如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氮化硅、碳氧化硅、碳氮氧化硅、及/或上述的组合。在一些实施例中,间隔物材料层包括多个层状物,比如主要间隔物墙、衬垫层、与类似物。间隔物材料沉积于虚置栅极堆叠212上的制程,可采用化学气相沉积制程、次压化学气相沉积制程、可流动的化学气相沉积制程、原子层沉积制程、物理气相沉积制程、或其他合适制程。接着在非等向蚀刻制程中回蚀刻间隔物材料层以形成栅极间隔物222。等向蚀刻制程露出与虚置栅极堆叠212相邻但虚置栅极堆叠212未覆盖的鳍状单元210的部分(比如在源极/漏极区中)。此等向蚀刻制程可完全移除直接位于虚置栅极堆叠212上的间隔物材料层的部分,并保留栅极间隔物222于虚置栅极堆叠212的侧壁上。在一些实施方式中,当栅极间隔物222的组成为氮化硅或碳氮化硅时,栅极间隔物222的密度大于2.8g/cm3

如图1与图4所示,方法100包含的步骤108使鳍状单元210的源极/漏极区2000凹陷。在一些实施例中,蚀刻栅极间隔物222与虚置栅极堆叠212未覆盖的鳍状单元210的部分,以形成源极/漏极沟槽224,且蚀刻方法可为干蚀刻或合适的蚀刻制程。举例来说,干蚀刻制程可采用含氧气体、含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯气体(如氯气、氯仿、四氯化碳、及/或三氯化硼)、含溴气体(如溴化氢及/或溴仿)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。如图4所示的一些实施例,使鳍状单元210的上侧部分210b凹陷以露出牺牲层如外延层206与通道层如外延层208。在一些实施方式中,亦使鳍状单元210的下侧部分210a的至少一部分凹陷。因此源极/漏极沟槽224可延伸于最底侧的牺牲层如外延层206之下。

如图1与图5所示,方法100包含的步骤110使鳍状单元210中的牺牲层如外延层206凹陷。在图5所示的一些实施例中,使源极/漏极沟槽224中露出的牺牲层如外延层206选择性且部分地凹陷,以形成内侧间隔物凹陷226,且实质上不蚀刻露出的通道层如外延层208。在一实施例中,通道层如外延层208实质上由硅组成,而牺牲层如外延层206实质上由硅锗组成,且使牺牲层如外延层206选择性凹陷的制程可包含硅锗氧化制程,接着移除硅锗氧化物。在这些实施例中,硅锗氧化制程可采用臭氧。在一些实施例中,选择性凹陷步骤可为选择性等向蚀刻制程(比如选择性干蚀刻制程或选择性湿蚀刻制程),且牺牲层如外延层206的凹陷量可由蚀刻制程时间控制。在一些实施例中,选择性湿蚀刻制程可包含氢氟酸或氢氧化铵的蚀刻剂。如图5所示,内侧间隔物凹陷226自源极/漏极沟槽224横向地向内延伸至鳍状单元210中。

如图1与图6所示,方法100包含的步骤112沉积内侧间隔物层228于工件200上,包括沉积于内侧间隔物凹陷226中。顺应性沉积内侧间隔物层228的方法可为化学气相沉积、等离子体辅助化学气相沉积、低压化学气相沉积、原子层沉积、或其他合适方法。在一些实施例中,内侧间隔物层228为多孔氮化硅为主的介电层,其沉积方法为在炉、单晶圆腔室、或旋转设备中进行的原子层沉积制程。在一些实施方式中,原子层沉积制程可包含采用一或多种有机硅烷前驱物,其包括硅与烷基。在本公开实施例中,一或多种有机硅烷前驱物可包含交联前驱物与致孔前驱物。为了达到本公开实施例的目的,交联前驱物包含硅-碳-硅链,其中碳原子共价键结至两个硅原子;而致孔前驱物包含硅、氮、与键结至硅原子与碳原子的末端烷基。致孔前驱物不含任何硅-碳-硅链。

交联前驱物可或可不包含卤基。在一些例子中,交联前驱物包含卤基,且交联前驱物的分子的化学式可为si(ch2)sirxcly,其中r可为氢原子或烷基如甲基,x大于0,y大于1,且x+y=6。交联前驱物的一例为二氯四甲基乙硅烷(sich2si(ch3)4cl2)的结构如下:

在另一例中,含卤的交联前驱物亦可包含化学式如si(ch2)2sirxcly,其中r可为氢原子或烷基如甲基,x大于或等于0,y大于1,且x+y=4。交联前驱物的一例为二氯四甲基乙硅烷(si(ch2)2sicl4)的结构如下:

在另一例中,含卤的交联前驱物可具有化学式如si(ch3)xcly,其中x大于1且x+y=4。交联前驱物的一例为二甲基二氯硅烷(si(ch3)2cl2)如下。

在一些实施例中,交联前驱物可不含任何卤基。在这些实施例中,交联前驱物的化学式为si(ch2)si(ch3)xhy,其中x大于0,y大于2,且x+y=6。交联前驱物的例子包含二硅烷基甲烷(sich2sih6)与四甲基乙硅烷(sich2si(ch3)4h2)如下。

在一些其他例子中,致孔前驱物的化学式为sihx(r1)y(r2)z,其中r1可为烷基如甲基,r2可为胺基如甲基胺基(nh(ch3))或二甲基胺基(n(ch3)2),x大于0,y大于1,z大于1,且x+y+z=4。值得注意的是,r1与r2包含末端烷基(如甲基),其易于增加碳含量与孔隙率,但不易交联不同前驱物。致孔剂的例子可包含双(二甲基胺基)二甲基硅烷(si(ch3)2(n(ch3)2)2)与二甲基胺基二甲基硅烷(si(ch3)2(n(ch3)2)2),其结构如下。

除了一或多个有机硅烷前驱物,反应物气体与载气可用于原子层沉积制程。反应物气体的例子可包括含氮气体如氨、氮气、氢气、或上述的组合。载气的例子可包含氮气、氦气、或氩气。在一些实施例中,原子层沉积制程为热原子层沉积制程,且沉积温度介于约150℃至约650℃之间。在一些实施例中,内侧间隔物层228的特性为阶梯覆盖率大于95%,且实质上填入内侧间隔物凹陷226。

交联前驱物可增加交联密度并改善内侧间隔物层228的完整性。此外,交联前驱物可强化内侧间隔物层228对牺牲层如外延层206的附着性。致孔前驱物的末端烷基可增加内侧间隔物层228的孔隙率与碳含量。致孔前驱物可增加碳含量,以改善内侧间隔物层228的抗蚀刻性。在一些实施例中,步骤112采用至少一种交联前驱物与至少一种致孔前驱物以沉积内侧间隔物层228。在本公开实施例中,步骤112所用的前驱物、反应物气体、与载气不含氧或氧化剂,因此步骤112没有氧化外延堆叠204的外延层206与208的风险。然而这不表示最后形成的内侧间隔物层228不含氧原子。在破真空并自真空腔室移出工件200时,可观察到环境大气中的氧可进入内侧间隔物层228的晶格并氧化内侧间隔物层228。内侧间隔物层228中的氧含量取决于步骤112的沉积温度。当步骤112的沉积制程温度高于500℃,比如介于约500℃至约650℃之间,结合至内侧间隔物层228中的氮原子较多,且环境气体中的氧原子可用的反应位点较少。当结合至内侧间隔物层228中的氮原子较多时,内侧间隔物层228的介电常数较高,且其电性更接近介电常数为约7的非多孔氮化硅。类似地,当结合至内侧间隔物层228中的氮原子较多时,内侧间隔物层228的密度较高,且其晶格结构更接近密度为约2.8g/cm3或更高的非多孔氮化硅。当步骤112的沉积制程温度低于500℃(比如介于约150℃至约350℃之间),结合至内侧间隔物层228中的氮原子较少,而环境气体中的氧原子所用的反应位点更多。当进入内侧间隔物层228的晶格中的氧原子越多,内侧间隔物层228的介电常数越低,而其电性更接近介电常数为约3.9的氧化硅。类似地,当更多氧原子进入内侧间隔物层228的晶格时,内侧间隔物层228的密度更低,且其晶格结构更接近非多孔的氧化硅晶格(密度大于或等于约2.2g/cm3)。

此处提供一些实施例如下以作为例子。在一实施例中,含卤化物的交联前驱物si(ch2)2sicl4用于沉积内侧间隔物层228,且沉积温度介于约500℃至约650℃之间。为了易于标示,为了易于说明,最后形成的内侧间隔物层228可视作第一内侧间隔物层。随着沉积温度靠近上述的温度范围上限,内侧间隔物层的介电常数介于约4.9与约5.2之间,密度介于约2.1g/cm3至约2.3g/cm3之间,氮含量介于约30%至约40%之间,且碳含量介于约3%至约8%之间。在另一实施例中,致孔前驱物si(ch3)2(n(ch3)2)2用于沉积内侧间隔物层228,且沉积温度介于约150℃至约350℃之间。为了简化说明,最后的内侧间隔物层228可视作内侧间隔物层。当沉积温度为所述温度范围的下限,内侧间隔物层的介电常数可介于约3.7至约4.2之间、密度可介于约1.7g/cm3至约2.0g/cm3之间、氮含量可介于约4%至约8%之间、且碳含量可介于约5%至约10%之间。一般的低介电常数的介电材料指的是介电常数小于3.9(如氧化硅的介电常数)的介电材料。值得注意的是,本公开实施例中的内侧间隔物层228的介电常数介于约3.7至约5.2之间,其小于氮化硅的介电常数但大部分大于3.9。因此与习知定义的低介电常数材料相反,本公开实施例中的内侧间隔物层228可视为具有较低介电常数。

如图1、图7a、图7b、与图7c所示,方法100包含的步骤114以处理制程处理内侧间隔物层228。图7a、图7b、与图7c显示处理制程的三个实施例。在图7a所示的一些实施例中,处理制程可为退火制程300,其可为炉退火制程、激光退火制程、快闪退火制程、快速热退火制程、合适的退火制程、或上述的组合。在一些实施方式中,退火制程300包含的退火温度介于约350℃至约700℃之间,且退火环境含氦气、氩气、氮气、氢气、惰性气体、或上述的组合。在图7b所示的一些实施例中,处理制程可为紫外线固化制程400,其包括以紫外线照射内侧间隔物层228。在一些实施方式中,紫外线固化制程400包含的固化温度介于150℃至约450℃之间,且固化环境包含氦气、氩气、氮气、氢气、惰性气体、或上述的组合。在图7c所示的一些实施例中,处理制程可为远端等离子体处理制程500,其可包含远端产生的氦、氢、氮、或氩等离子体,以与沉积的内侧间隔物层228作用。在一些实施方式中,远端等离子体处理制程500包含的制程温度介于约室温(比如约20℃至约25℃之间)至约350℃之间。

方法100的步骤114的处理制程可用于固化沉积的内侧间隔物层228,并移除多孔内侧间隔物层228中的残留气体。在一些实施例中,步骤114中的处理制程有利于聚合反应以增加交联密度,并移除内侧间隔物层228中的未反应物种。因此步骤114的处理制程可强化内侧间隔物层228。在一些实施例中,步骤114的处理制程可分离并移除吸附于多孔的内侧间隔物层228上的气体物种,比如氨、氮、或氧。目前发现到步骤114的处理制程可固化内侧间隔物层228并移除内侧间隔物层228中吸附的残留气体,但其不会改变内侧间隔物层228的性质与结构。关于预处理内侧间隔物层228的密度、介电常数、与组成的上述内容,仍适用于处理后的内侧间隔物层228。

如图1与图8所示,方法100包含的步骤116拉回内侧间隔物层228。在一些实施例中,等向且选择性地回蚀刻内侧间隔物层228(或处理后的内侧间隔物层228),直到露出栅极间隔物222的侧壁与通道层如外延层208的侧壁。进行上述步骤,直到实质上移除栅极间隔物222的侧壁与通道层如外延层208的侧壁上的处理后的内侧间隔物层228。在一些实施方式中,步骤118中进行的等向蚀刻包含采用干蚀刻剂(如氢氟酸、氟气、氢、氨、三氟化氮、或其他氟为主的蚀刻剂)或湿蚀刻剂(如稀释氢氟酸)。由于内侧间隔物层228的组成与结构不同于栅极间隔物222与通道层如外延层208的组成与结构,步骤116中的拉回步骤采用的蚀刻剂与蚀刻制程可对处理后的内侧间隔物层228具有选择性。蚀刻选择性可选择性地回蚀刻内侧间隔物层228,而以较慢的蚀刻速率蚀刻栅极间隔物222与通道层如外延层208。在一些实施方式中,栅极间隔物222的组成为碳氧化硅,且步骤116的拉回制程可采用稀释比例介于约100:1至约500:1之间的稀释氢氟酸,使内侧间隔物层228对栅极间隔物222的蚀刻选择性可介于约80至约120之间。在一些其他实施方式中,栅极间隔物222的组成为碳氧化硅,且步骤116的拉回制程可采用氢氟酸与氨的等离子体,使内侧间隔物层228对栅极间隔物222的蚀刻选择性可介于约1.8至约3.5之间。内侧间隔物层228的蚀刻选择性来自于其多孔结构所造成的低密度与高比表面积。在图8所示的一些实施例中,可蚀刻沉积于内侧间隔物凹陷226中的内侧间隔物层228,使内侧间隔物层228的外侧表面与栅极间隔物222的侧壁共平面。然而本公开实施例不限于此,且可想象内侧间隔物层228的外侧表面不与栅极间隔物222的侧壁共平面(比如自栅极间隔物222的侧壁凹陷)。保留于内侧间隔物凹陷226中的内侧间隔物层228的分开部分,可视作内侧间隔物结构。

如图1与图9所示,方法100包含的步骤118形成源极/漏极结构230于鳍状单元210的源极/漏极区2000上。在外延成长制程时,虚置栅极堆叠212与栅极间隔物222可限制外延的源极/漏极结构230成长至鳍状单元210的源极/漏极区2000。在一些例子中形成介电鳍状物,且介电鳍状物可避免自不同鳍状单元210形成的外延的源极/漏极结构230彼此接触。在其他实施例中不存在介电鳍状物,而相邻的鳍状单元210的外延的源极/漏极结构230可合并,只要合并不会造成半导体装置失效即可。合适的外延制程包括化学气相沉积技术(如气相外延及/或超高真空化学气相沉积)、分子束外延、及/或其他合适制程。外延成长制程可采用气体及/或液体的前驱物,其与基板202以及通道层如外延层208的组成作用。在图9所示的实施例中,外延的源极/漏极结构230直接接触通道层如外延层208与源极/漏极沟槽224中露出的基板202的部分(见图5)。在这些实施例中,外延的源极/漏极结构230不直接接触牺牲层如外延层206。相反地,外延的源极/漏极结构230直接接触沉积于内侧间隔物凹陷226中的内侧间隔物层228。

在多种实施例中,外延的源极/漏极结构230可包含锗、硅、砷化镓、砷化铝镓、硅锗、磷砷化镓、磷化硅、或其他合适材料。在外延制程时可导入掺杂物种如p型掺质(比如硼或二氟化硼)、n型掺质(比如磷或砷)、及/或包含上述的组合的其他合适掺质,以原位掺杂外延的源极/漏极结构230。若未原位掺杂外延的源极/漏极结构230,可进行布植制程(如接面布植制程)以掺杂外延的源极/漏极结构230。在例示性的实施例中,n型金属氧化物半导体装置中的外延的源极/漏极结构230包含磷化硅,而p型金属氧化物半导体装置中的外延的源极/漏极结构230包含硼化硅锗。在一些实施方式中,分开形成n型金属氧化物半导体装置与p型金属氧化物半导体装置所用的外延的源极/漏极结构230,使n型金属氧化物半导体装置与p型金属氧化物半导体装置可具有不同的外延的源极/漏极结构230。

此外,可形成硅化物或锗硅化物于外延的源极/漏极结构230上。举例来说,硅化物如镍硅化物、钛硅化物、钽硅化物、或钨硅化物的形成方法,可为沉积金属层于外延的源极/漏极结构230上并退火金属层,使金属层与外延的源极/漏极结构230中的硅反应形成金属硅化物。之后可移除未反应的金属层。

如图1与图10所示,方法100包含的步骤120形成层间介电层234。在一些实施例中,可在形成层间介电层234之前先形成接点蚀刻停止层232。在一些例子中,接点蚀刻停止层232包括氮化硅层、氧化硅层、氮氧化硅层、及/或本技术领域已知的其他材料。接点蚀刻停止层232的形成方法可为原子层沉积、等离子体辅助化学气相沉积制程、及/或其他合适的沉积或氧化制程。在一些实施例中,层间介电层234包括的材料可为四乙氧基硅烷的氧化物、未掺杂的硅酸盐玻璃、掺杂的氧化硅(如硼磷硅酸盐玻璃、掺杂氟的硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、及/或其他合适的介电材料。层间介电层234的沉积方法可为等离子体辅助化学气相沉积制程或其他合适的沉积技术。在一些实施例中,在形成层间介电层234之后,可退火工件200以改善层间介电层234的完整性。在一些实施方式中,沉积层间介电层234之后可进行平坦化制程以移除多余的介电材料。举例来说,平坦化制程包含化学机械研磨制程,其移除虚置栅极堆叠212上的层间介电层234的部分(与接点蚀刻停止层232,若存在),并平坦化工件200的上表面。在图10所示的一些实施例中,化学机械研磨制程亦移除硬遮罩220并露出虚置电极层214。

如图1与图11所示,方法100包含的步骤122移除虚置栅极堆叠212。在一些实施例中,移除虚置栅极堆叠212可定义栅极沟槽于通道区1000上的栅极间隔物222之间。接着可形成最后的高介电常数栅极结构(比如包含高介电常数的介电层与金属栅极)于栅极沟槽中,如下所述。步骤122可包含一或多道蚀刻制程,其对虚置栅极堆叠212中的材料具有选择性。举例来说,移除虚置栅极堆叠212的步骤可采用选择性湿蚀刻、选择性干蚀刻、或上述的组合,其对虚置电极层214具有选择性。一旦完成步骤122,栅极沟槽中可露出鳍状单元210的外延层206与208。

如图1与图11所示,方法100包含的步骤124露出通道膜如外延层208。步骤124移除内侧间隔物结构如保留的内侧间隔物层228之间的牺牲层如外延层206,且通道区1000中的通道层如外延层208之间垂直地隔有每一牺牲层如外延层206的厚度。选择性移除牺牲层如外延层206可露出之后作为通道膜的外延层208。值得注意的是,外延层208亦可为通道膜。步骤124的实施方式可为选择性干蚀刻、选择性湿蚀刻、或其他选择性蚀刻制程。在一些实施例中,选择性湿蚀刻包括以氢氧化铵、过氧化氢、与水的混合物进行蚀刻。在一些实施例中,选择性移除法包括氧化硅锗后,进行硅锗氧化物的移除制程。举例来说,氧化法可为臭氧清洁,且之后的硅锗氧化物移除法可采用蚀刻剂如氢氧化铵。

如图1与图11所示,方法100包含的步骤126形成金属栅极堆叠238于通道区1000中,以包覆通道膜如外延层208。金属栅极堆叠238可为高介电常数的栅极介电层与金属栅极的堆叠,但可能采用其他组成。在一些实施例中,金属栅极堆叠238形成于工件200上的栅极沟槽中,且沉积于移除牺牲层如外延层206之后留下的空间中。在此考量下,金属栅极堆叠238包覆每一鳍状单元210中的每一通道膜(如外延层208)。在多种实施例中,金属栅极堆叠238(或高介电常数的栅极介电层与金属栅极的堆叠)包含界面层240、形成于界面层240上的高介电常数的栅极介电层242、及/或形成于高介电常数的栅极介电层242上的栅极层244。高介电常数的栅极介电层242的组成可为高介电常数的介电材料,其介电常数可大于热氧化硅的介电常数(约3.9)。金属栅极堆叠238中所用的栅极层244可包含金属、金属合金、或金属硅化物。此外,形成金属栅极堆叠238的方法可包含沉积形成多种栅极材料与一或多个衬垫层,并进行一或多道化学机械研磨制程以移除多余栅极材料,进而平坦化工件200的上表面。

在一些实施例中,界面层240可包含介电材料如氧化硅、硅酸铪、或氮氧化硅。界面层的形成方法可为化学氧化、热氧化、原子层沉积、化学气相沉积、及/或其他合适方法。金属栅极堆叠238的高介电常数的栅极介电层242可包含高介电常数的介电层如氧化铪。在其他实施例中,高介电常数的栅极介电层242可包含其他高介电常数的介电层,比如氧化钛、氧化铪锆、三氧化二钽、氧化铪硅、氧化锆、氧化锆硅、氧化镧、氧化铝、氧化锆、氧化钛、五氧化二钽、氧化钇、钛酸锶、钛酸钡、氧化钡锆、氧化铪锆、氧化铪镧、氧化铪硅、氧化镧硅、氧化铝硅、氧化铪钽、氧化铪钛、钛酸钡锶、氧化铝、氮化硅、钽氧化物如氮氧化硅、上述的组合、或其他合适材料。高介电常数的栅极介电层242的形成方法可为原子层沉积、物理气相沉积、化学气相沉积、氧化、及/或其他合适方法。

金属栅极堆叠238的栅极层244可包含单层或多层结构,比如具有选定功函数以增进装置效能的金属层(功函数金属层)、衬垫层、湿润层、粘着层、金属合金、或金属硅化物的多种组合。举例来说,金属栅极堆叠238的栅极层244可包含钛、银、铝、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、氮化钽、钌、钼、氮化钨、铜、钨、铼、铱、钴、镍、其他合适金属材料、或上述的组合。在多种实施例中,金属栅极堆叠238的栅极层244的形成方法可为原子层沉积、物理气相沉积、化学气相沉积、电子束蒸镀、或其他合适制程。此外,可分别形成n型场效晶体管与p型场效晶体管所用的栅极层244,以采用不同的金属层(用于提供不同的n型与p型的功函数金属层)。在多种实施例中,可进行化学机械研磨制程,以自金属栅极堆叠238的栅极层244移除多余金属,进而提供金属栅极堆叠238的实质上平坦的上表面。金属栅极堆叠238包含夹设于通道区1000中的通道膜如外延层208之间的部分。

如图1与图12所示,方法100的步骤128进行额外制程。可对工件200进行额外制程以形成工件200。举例来说,这些其他制程可包含形成源极/漏极接点248。在此例中,形成源极/漏极接点248所用的开口穿过层间介电层234,并形成金属填充层于开口中。在一些实施例中,硅化物层246可形成于源极/漏极接点248与外延的源极/漏极结构230之间,以降低接点电阻。源极/漏极接点248与栅极接点(未图示)可让方法100中形成的晶体管电性耦接至多层内连线结构,且多层内连线结构包含多个接点通孔与金属线路层于一或多个金属间介电层中。多层内连线结构设置以连接多种多栅极装置、存储器装置、输入/输出装置、功率栅极装置、被动装置、与其他装置,以形成功能电路。

本公开实施例提供一些优点至半导体装置与其形成制程,但不局限于此。举例来说,本公开实施例提供内侧间隔物结构,其部分为含硅与氮的多孔介电材料。本公开实施例的内侧间隔物结构的介电常数比现有的内侧间隔物结构的介电常数低,以改善半导体装置的效能,并改善内侧间隔物结构与栅极间隔物的蚀刻选择性,进而加大形成内侧间隔物结构的制程容许范围。此外,本公开实施例公开的内侧间隔物结构的形成制程,易于整合至现有的半导体制作制程中。

在本公开一例示性的实施例中,半导体装置包括第一半导体通道膜,与第二半导体通道膜位于第一半导体通道膜上;以及含硅与氮的多孔介电结构。多孔介电结构夹设于第一半导体通道膜与第二半导体通道膜之间,且多孔介电结构的密度小于氮化硅的密度。

在一些实施例中,多孔介电结构的介电常数介于约4.9至约5.2之间。在一些实施例中,多孔介电结构的密度介于约2.1g/cm3至约2.3g/cm3之间。在一些实施例中,多孔介电结构的氮含量介于约30%至约40%之间。在一些实施例中,多孔介电结构更包括碳。在一些实施例中,多孔介电结构的碳含量介于约3%至约8%之间。

在本公开另一例示性的实施例中,半导体装置的制作方法包括提供鳍状单元,其包括交错的多个第一半导体层与多个第二半导体层;形成虚置栅极结构于鳍状单元的通道区上;蚀刻鳍状单元的源极/漏极区以露出第一半导体层的侧壁与第二半导体层的侧壁;使第二半导体层的露出侧壁选择性且部分地凹陷,以形成多个凹陷;采用有机硅烷前驱物与含氮气体沉积内侧间隔物层于凹陷上;处理内侧间隔物层;以及回蚀刻内侧间隔物层。

在一些实施例中,沉积内侧间隔物层的步骤包括采用原子层沉积法沉积内侧间隔物层。在一些实施例中,有机硅烷前驱物的化学式为si(ch2)sirxcly,其中x+y=6。在一些实施例中,有机硅烷前驱物的化学式为si(ch2)2sirxcly,其中x+y=4。在一些实施例中,有机硅烷前驱物的化学式为si(ch3)xcly,其中x+y=4。在一些实施例中,有机硅烷前驱物的化学式为si(ch2)2si(ch3)xcly,其中x为至少2,其中x+y=6。在一些实施例中,有机硅烷前驱物的化学式为sihx(r1)y(r2)z。其中r1为甲基,其中r2包括甲基胺基或二甲基胺基,其中x为至少1,其中z为至少1,其中x+y+z=4。在一些例子中,处理内侧间隔物层的步骤包括退火制程、紫外线固化制程、或等离子体处理制程。

在本公开又一例示性的实施例中,半导体装置的制作方法包括提供鳍状单元,其包括交错的多个第一半导体层与多个第二半导体层;形成虚置栅极结构于鳍状单元的通道区上;蚀刻鳍状单元的源极/漏极区,以露出第一半导体层的侧壁与第二半导体层的侧壁;使第二半导体层的露出侧壁选择性且部分地凹陷,以形成多个凹陷;沉积含硅与氮的内侧间隔物层;处理内侧间隔物层;以及回蚀刻内侧间隔物层,以形成多孔的氮化硅层,且氮化硅比多孔的氮化硅层致密。

在一些实施方式中,沉积内侧间隔物层的步骤包括采用前驱物,其中前驱物的分子包括硅与至少一烷基。在一些实施例中,前驱物的分子更包括氮或卤基。在一些例子中,处理内侧间隔物层的步骤包括退火内侧间隔物层,且退火温度介于约350℃至约700℃之间,且退火环境包括氦气、氩气、氮气、氢气、或上述的组合。在一些实施方式中,处理内侧间隔物层的步骤包括以紫外线照射内侧间隔物层,照射步骤的温度介于约150℃至约450℃之间,且照射步骤的环境包括氦气、氩气、或氮气。在一些实施例中,处理内侧间隔物层的步骤包括使内侧间隔物层接触远端产生的等离子体,且等离子体包括氦气、氢气、氮气、或氩气,且温度介于室温至约350℃之间。

上述实施例的特征有利于本技术领域中技术人员理解本公开。本技术领域中技术人员应理解可采用本公开作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本公开精神与范畴,并可在未脱离本公开的精神与范畴的前提下进行改变、替换、或更动。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1