一种电可擦除可编程只读存储器的实现方法和装置的制作方法

文档序号:6782461阅读:211来源:国知局
专利名称:一种电可擦除可编程只读存储器的实现方法和装置的制作方法
技术领域
本发明涉及一种集成电路(IC,Integrated Circuit)的实现方法和装置,尤 其涉及电可擦除可编程只读存储器(EEPROM,Electrically-Erasable Programmable Read-Only Memory)的实现方法和装置。
背景技术
EEPROM是一种掉电后数据不丢失的存储芯片,由于其可编程特性以及其较低的成 本,在电子产品中被广泛地应用。EEPROM被其它电子设备访问通常可以通过串行接口实现。其中常用的接口协议 是 IC 间总线(I2C, Inter IC Bus)、串行外设接口 (SPI, Serial Peripheral Interface) 和Microwire (该总线是一种简单的串行通讯接口协议,采用三线进行数据传输),可以根 据应用的具体要求予以选择。譬如I2C是由数据线SDA和时钟线SCL构成的串行总线,可 以发送和接收数据,最高传送速率lOOlAps。I2C上并接的每一模块电路既可以是主机,又 可以是从机,这取决于它所要完成的功能。具I2C接口的EEPROM以其占用1/0总线少、体 积小等优点而被广泛应用。当然,EEPROM被其它电子设备访问也可以通过并行接口实现。在电子产品中EEPROM —般用于存储配置数据,系统上电后,通过相关联的接口 (串行接口或并行接口)从EEPROM中读出数据,实现相应的配置。但是EEPROM中数据的 写入需要专用的下载工具,对于大规模量产的产品,这道工序费时费力,也不利于产品的升 级。而且现在产品的集成度越来越高,印刷电路板(PCB,Printed Circuit Board)的尺寸 也越来越小,EEPROM的体积虽亦较小,但也需在PCB中占用一定的面积。这些问题都是本 领域技术人员亟待解决的课题。

发明内容
本发明所要解决的技术问题是提供一种电可擦除可编程只读存储器的实现方法 和装置,能够利用电子产品中现有的器件组合替代EEPROM的功能。为了解决上述技术问题,本发明提供了一种电可擦除可编程只读存储器(EEPROM) 的实现装置,包括依次连接的可替代EEPROM的存储器、控制模块、缓存模块以及EEPROM接 口协议模块,其中可替代EEPROM的存储器,至少具有EEPROM的非易失性及电可擦除特性,用于替代 EEPROM存储数据;控制模块,用于通过运行在线软件将以往要写入EEPROM中的数据自动写入可替 代EEPROM的存储器中,然后从该可替代EEPROM的存储器中读取该数据写入到缓存模块 中;缓存模块,用于缓存以往要写入到EEPROM中的数据;EEPROM接口协议模块,用于通过EEPROM接口协议与要访问EEPROM的设备通讯,根据该设备给出的读地址从缓存模块中读取相应的数据发送给该设备。进一步地,EEPROM接口协议模块根据要访问EEPROM的设备给出的写指示接收读地址,并将 该读地址映射为缓存模块的读地址;根据该设备给出的读指示和映射的缓存模块的读地 址,将从缓存模块相应的缓存单元中读取的数据发送给该设备。进一步地,可替代EEPROM的存储器通过闪速存储器(FLASH)、磁阻式随机存储器(MRAM)或非 易失性铁电存储器(FRAM)中的任意一种存储器实现;控制模块通过微处理单元(MPU)、微控制单元(MCU)、专用控制器、现场可编程门 阵列(FPGA)形成的控制单元以及数字信号处理器(DSP)中的任意一种实现;缓存模块通过随机存取存储器(RAM)实现;EEPROM接口协议模块,根据EEPROM接口协议通过IC间总线(I2C)模块、串行外 设接口(SPI)模块以及Microwire接口模块中的任意一种实现,或者通过并行接口模块实 现;并且,可替代EEPROM的存储器、控制模块、缓存模块以及EEPROM接口协议模块中 的一个或多个通过电子装置中现有器件实现。进一步地,缓存模块通过双口 RAM实现,控制模块的数据总线输出端、地址总线输出端、时钟 输出端以及写使能输出端分别连接所述双口 MM的第一接口的数据总线输入端、写地址输 入端、时钟输入端和写使能输入端;EEPROM接口协议模块通过I2C模块实现,双口 RAM的第二接口的数据总线输出端、 读地址输入端、读使能输入端、时钟输入端分别连接I2C模块的数据总线输入端、读地址输 出端、读使能输出端和所述控制模块的时钟输出端;I2C模块的串行数据信号线和时钟信 号线分别连接到外部I2C总线的串行数据信号线和时钟信号线。进一步地,双口 RAM和12C模块均由FPGA形成;控制模块在FPGA正常工作后,从FLASH中取出相应的数据,在写使能输出端输出 的写使能有效信号、地址总线输出端输出的写地址信号和时钟输出端输出的时钟信号的作 用下,将从可替代EEPROM的存储器读取的数据通过数据总线输出端写入到双口 RAM的第一 接口 ;I2C模块时钟信号线的时钟信号从串行数据信号线接收写指示接收读地址,并将 该读地址映射为双口 RAM的第二接口读地址;根据从串行数据信号线接收的读指示和双口 RAM的第二接口读地址,将从双口 RAM相应的单元中读取的数据通过串行数据线发送。为了解决上述技术问题,本发明提供了一种电可擦除可编程只读存储器(EEPROM) 的实现方法,涉及控制模块和EEPROM接口协议模块,该方法包括控制模块通过运行在线软件将以往要写入EEPROM的数据自动写入可替代EEPROM 的存储器中,然后从该可替代EEPROM的存储器中读取该写入的数据写入到缓存中;EEPROM接口协议模块根据要访问EEPROM的设备给出的读地址,从缓存中读取相 应的数据发送给该设备。进一步地,EEPROM接口协议模块根据该设备给出的读地址,从缓存中读取相应的数据发送给该设备,具体包括EPROM接口协议模块通过EEPROM接口协议与该设备通讯,根据该设备给出的写指 示接收读地址,并将该读地址映射为缓存的读地址;根据该设备给出的读指示和映射的缓 存的读地址,将从缓存相应的缓存单元中读取的数据发送给该设备。进一步地,可替代EEPROM的存储器通过闪速存储器(FLASH)、磁阻式随机存储器(MRAM)或非 易失性铁电存储器(FRAM)中的任意一种存储器实现;控制模块通过微处理单元(MPU)、微控制单元(MCU)、专用控制器、现场可编程门 阵列(FPGA)形成的控制单元以及数字信号处理器(DSP)中的任意一种实现;缓存通过随机存取存储器(RAM)实现;EEPROM接口协议模块,根据EEPROM接口协议通过IC间总线(I2C)模块、串行外 设接口(SPI)模块以及Microwire接口模块中的任意一种实现,或者通过并行接口模块实 现;并且,可替代EEPROM的存储器、控制模块、缓存模块以及EEPROM接口协议模块中 的一个或多个通过电子装置中现有器件实现。进一步地,控制模块的数据总线输出端、地址总线输出端、时钟输出端以及写使能输出端分 别连接作为缓存的一双口 RAM的第一接口的数据总线输入端、写地址输入端、时钟输入端 和写使能输入端;EEPROM接口协议模块通过I2C模块实现,I2C模块的数据总线输入端、读地址输出 端、读使能输出端和控制模块的时钟输出端分别连接双口 MM的第二接口的数据总线输出 端、读地址输入端、读使能输入端、时钟输入端,I2C模块的串行数据信号线和时钟信号线分 别连接到外部I2C总线的串行数据信号线和时钟信号线。进一步地,双口 RAM和12C模块均由FPGA形成;控制模块在PGA正常工作后,从FLASH中取出相应的数据,在写使能输出端输出的 写使能有效信号、地址总线输出端输出的写地址信号和时钟输出端输出的时钟信号的作用 下,将从可替代EEPROM的存储器读取的数据通过数据总线输出端写入到双口 RAM的第一接 Π ;I2C模块时钟信号线的时钟信号从串行数据信号线接收写指示接收读地址,并将 该读地址映射为双口 RAM的第二接口读地址;根据从串行数据信号线接收的读指示和双口 RAM的第二接口读地址,将从双口 RAM相应的单元中读取的数据通过串行数据线发送。本发明用于替代EEPROM功能的电路模块都是目前电子产品设计中的常用元件, 因此不需要再额外增加EEPROM器件;并且,与现有的EEPROM器件相比,本发明由于通过控 制模块先将相关的数据在线写入闪存这一类的存储器中,然后再将相关的数据写入缓存, 因此可以由控制模块自动完成原EEPROM需人工操作才能写入配置数据的操作,简化了产 品量产的工序,且便于产品的升级,同时还节约了 PCB面积,由此降低了产品的硬件成本。


图1是本发明的EEPROM实现装置实施例的原理框图2是图1所示的EEPROM实现装置的具体实现电路的连接示意图;图3是图2所示电路的工作流程图。
具体实施例方式本发明提供的EEPROM的实现方法和装置,其发明构思是,用电子电路中现有的微 处理器(MPU)、闪速存储器(FLASH)、缓存以及EEPROM接口协议模块组合成一个EEPROM的 替代电路,其中,MPU将以往要写入EEPROM内的数据先写入到FLASH,然后从该FLASH中读 取这些数据并写入一缓存中;EEPROM接口协议模块通过EEPROM接口协议与要访问EEPROM 数据的设备通讯,根据该设备给出的地址从相应的缓存单元中读取相应的数据发送给该设 备。以下结合附图和优选实施例对本发明的技术方案进行详细地阐述。以下例举的实 施例仅仅用于说明和解释本发明,而不构成对本发明技术方案的限制。如图1所示,是本发明提供的EEPROM的实现装置的一实施例的结构,该装置用于 替代EEPROM装置,包括依次连接的闪速存储器(FLASH) 110、控制模块120、缓存模块130以 及EEPROM接口协议模块140,其中FLASH 110,用于存储以往要写入到EEPROM中的配置数据;控制模块120,用于通过运行在线软件将以往要写入到EEPROM中的配置数据自动 写入FLASH 110中,然后从FLASH 110中读取该配置数据写入到缓存模块130中;控制模块120通过运行在线软件将配置数据自动写入FLASH 110中,是指区别于 以往将配置数据写入EEPROM中需要通过人工使用专用的下载工具并在离线状态(即电子 装置非运行状态)下进行。控制模块120譬如通过微处理单元(MPU,Micro-Processing Unit)、微控制单元 (MCU, Micro-Control Unit)、专用控制器、现场可编程门阵列(FPGA,Field Programmable Gate Array)形成的控制单元以及数字信号处理器(DSP,Digital Signal Processor)中 的任意一种实现。缓存模块130,用于缓存以往要写入到EEPROM中的配置数据;缓存模块130譬如通过随机存取存储器(RAM,Random Access Memory)实现。EEPROM接口协议模块140,用于通过EEPROM接口协议与要访问配置数据的设备通 讯,根据要访问配置数据的设备发出的地址,从缓存模块130相应的缓存单元中读取相应 的配置数据,并将该配置数据发送给该要访问配置数据的设备。EEPROM接口协议模块140根据要访问配置数据的设备给出的写指示接收读地址, 并将该读地址映射为缓存模块相应的缓存读地址;根据该设备给出的读指示和映射的缓存 读地址,将从缓存模块相应的缓存单元中读取的数据该设备。缓存模块中的缓存单元的地址被映射为EEPROM地址。最简单的映射就是缓存模 块中缓存单元的地址与EEPROM地址相同。EEPROM接口协议模块140,根据EEPROM常用的接口协议,可以是I2C模块、SPI模 块和Microwire模块中的任意一种,或者是并行接口模块。以上FLASH 110、控制模块120、缓存模块130以及EEPROM接口协议模块140均可 以采用电子装置中现有的电路器件实现,由此克服了现有的EEPROM写入数据工序繁琐、升级不便利以及占用PCB面积的问题和缺陷,提供出能够降低产品硬件成本和体积且简化工 序的实用的EEPROM替代装置。在本实施例中,通过控制模块将以往要写入EEPROM的数据写入闪存中,以替代 EEPROM的非易失性及电可擦除及写入的存储功能,且比原有的EEPROM的数据写入更方便。 实际上,现有技术中还存在其它类似的能够替代EEPROM的非易失性及电可擦除及写入功 能的存储器,譬如磁阻式随机存储器(MRAM)或非易失性铁电存储器(FRAM)。如果在电子产 品中使用了这样的存储器,无疑将它们与其它器件组合也是能够取代EEPROM的。像EPROM 虽然也具有EEPROM的非易失性及可多次写入的存储功能,但由于EPROM中的数据需要紫外 线才能被擦除,因此显然它不能替代EEPR0M。如图2所示,是图1所示EEPROM的实现装置实施例的一个具体电路及其线路连 接,包括依次连接的FLASH、MPU、由FPGA形成的双口 RAM(简称FPGA双口 RAM)和I2C从机 模块,其中的线路连接如下MPU的数据总线(Data)和地址总线(Addr)分别连接FLASH的数据总线和地址总 线;MPU的数据总线输出端(Data-O)、地址总线输出端(Addr-O)、时钟输出端(Clk)、写使能 输出端(Wr)分别连接FPGA双口 RAM的A 口的数据总线输入端、写地址输入端、时钟输入端 和写使能输入端;FPGA双口 RAM的B 口的数据总线输出端、读地址输入端、读使能输入端、 时钟输入端分别连接I2C从机模块的数据总线输入端(Data-I)、读地址输出端(RAddr-O)、 读使能输出端(Rd)和MPU时钟输出端(Clk) ;I2C从机模块的数据信号线SDA和时钟信号 线SCL分别连接到外部I2C总线的SDA和SCL。FLASH,用于存储整个系统所需的软件程序、逻辑程序以及以往写入到EEPROM中 的配置数据;MPU,用于将以往要写入到EEPROM中的配置数据先写入FLASH中,然后将从FLASH 中读取的该配置数据写入到FPGA双口 RAM中;MPU在上电或复位初始化时,若查询FLASH中没有相关数据(包括软件程序、逻 辑程序以及配置数据),则将相关数据下载到FLASH中,然后读取其中的配置数据,并写入 FPGA 双口 RAM 中。FPGA双口 RAM,用于临时存储MPU写入到FLASH内的配置数据;I2C从机模块,用于根据从I2C主机模块接收的读指示和读数据地址,从FPGA双口 RAM中相应的地址单元中读取配置数据,并发送给I2C主机模块。I2C从机模块与I2C主机模块进行通讯,根据I2C主机模块的写指示接收读数据地 址,并根据I2C主机模块的读指示和读数据地址分别产生FPGA双口 RAM的读使能控制信号 和读地址,由此从FPGA双口 RAM中读取数据。在本实施例里,是用I2C从机模块与其它模块组合成I2C从机的EEPROM替代电 路,以此根据I2C主机的指示从FLASH中读取数据发送给I2C主机。本发明针对图2所示的EEPROM具体的替代电路,相应地给出该EEPROM替代电路 的工作流程,包括以下步骤201 系统上电或复位;202 =MPU查询FLASH中是否有相关数据,是则执行步骤204,否则执行步骤203 ;上述相关数据包括软件程序、逻辑程序以及以往要写入到EERPOM中的配置数据。
203 =MPU将相关数据下载到FLASH中;亦即如果MPU查询FLASH为空,则下载相关数据到FALSH。204 =MPU从FLASH中下载软件和逻辑程序到内存中;如果FLASH中存在相关数据,则MPU将软件程序下载到内存中运行,将逻辑程序下 载到FPGA中运行。205 待FPGA正常工作后,MPU读取FLASH中的配置数据,并写入FPGA双口 RAM的 A Π ;MPU在FPGA正常工作后,从FLASH中取出配置数据,在输出的写使能有效信号、写 地址信号和MPU系统时钟信号的作用下,将配置数据写入到FPGA双口 RAM的A 口。206 :I2C从机模块接收I2C主机发送到SDA总线上的数据;207 :I2C从机模块接收到I2C主机发送的起始符;208 判断起始符接收是否正确,是则执行下列步骤,否则返回步骤206执行;209 I2C从机模块接收到I2C主机发送的从机地址和读写指示;如果I2C从机模块接收到正确的I2C的起始符,接着连续从SDA总线上接收8位 数据,其中前7位数据作为I2C从机地址,最后1位数据是I2C读写指示,该读写指示通过 最后一位的高低电平区分。210 判断该从机地址是否正确,是则执行下列步骤,否则返回步骤206执行;判断接收到的I2C从机地址是否为本I2C从机的地址。211 :I2C从机模块发送应答信号给I2C主机;212 判断是否为写操作,是则执行下列步骤,否则(即读操作)执行步骤215 ;I2C从机模块根据接收到的读或写指示判断是读操作或是写操作。213 :I2C从机模块接收I2C主机发送的读地址,然后向I2C主机返回写应答信号;I2C从机模块连续从SDA总线上接收8位数据。在本发明应用实例中,该8位数据 是I2C主机准备读取I2C从机数据的读地址。如果读地址是16位的,则需要连续接收2次 数据。214 :I2C从机模块判断是否收到I2C主机发送的停止符或复位信号,是则返回步 骤206执行,否则返回步骤213执行;215 :I2C从机模块向FPGA双口 RAM的B 口发送读使能有效信号和读地址信号;根据I2C协议判断是否需要向SDA总线发送数据,如果不需要发送数据,则继续等 待;如果需要发送数据(即已收到读操作指示,且未接收到停止符或复位信号),则向FPGA 双口 RAM的B 口发送读使能有效信号和读地址信号。216 :I2C从机模块接收FPGA双口 RAM的数据,并发送给I2C主机,然后向I2C主 机发送读应答信号;FPGA双口 RAM的B 口接收到I2C从机模块读地址和读使能有效信号后,将FPGA双 口 RAM中的数据传输给I2C从机模块,由I2C从机模块接收该数据,并根据I2C协议将收到 的数据发送到SDA总线上去。217 判断是否收到I2C主机发送的停止符或者复位信号,是则执行下列步骤,否 则返回步骤216执行;218 将读地址移动,返回步骤216执行。
当需要继续向SDA总线发送数据,则需要将读地址自动移动到下一地址单元,譬 如将读地址加一。通过以上应用实例,可以给出本发明的EEPROM的实现方法实施例,包括以下步 骤控制模块将以往要写入EEPROM的数据先写入FLASH中,然后从该FLASH中读取该 写入的数据,并写入到缓存中;EEPROM接口协议模块通过EEPROM接口协议与要访问EEPROM的设备通讯,根据该 设备给出的读地址,从缓存相应的缓存单元中读取相应的数据发送给该设备。综上例举的实施例和应用实例可以看出,由于本发明用于替代EEPROM功能所涉 及到的模块(如MPU、FLASH、FPGA等)都是目前电子产品设计中的常用元件,因此不需要再 额外增加EEPROM器件;并且,与现有的EEPROM器件相比,本发明提供的装置可以自动完成 原EEPROM中配置数据的写入,简化了产品量产的工序,且便于产品的升级,同时还节约了 PCB面积,由此降低了产品的硬件成本和产品体积。以上所述仅为本发明的较佳实施例而已,并非用于限定本发明的包含范围。凡在 本发明的精神和原则之内所作的任何修改、等同替代、改进等,均应包含在本发明的保护范 围之内。
权利要求
1.一种电可擦除可编程只读存储器(EEPROM)的实现装置,包括依次连接的可替代 EEPROM的存储器、控制模块、缓存模块以及EEPROM接口协议模块,其中所述可替代EEPROM的存储器,至少具有EEPROM的非易失性及电可擦除特性,用于替代 EEPROM存储数据;所述控制模块,用于通过运行在线软件将以往要写入EEPROM中的数据自动写入所述 可替代EEPROM的存储器中,然后从该可替代EEPROM的存储器中读取该数据写入到所述缓 存模块中;所述缓存模块,用于缓存所述以往要写入到EEPROM中的数据;所述EEPROM接口协议模块,用于通过EEPROM接口协议与要访问所述EEPROM的设备通 讯,根据该设备给出的读地址从所述缓存模块中读取相应的数据发送给该设备。
2.按照权利要求1所述的装置,其特征在于,所述EEPROM接口协议模块根据要访问所述EEPROM的设备给出的写指示接收所述读地 址,并将该读地址映射为所述缓存模块的读地址;根据该设备给出的读指示和映射的所述 缓存模块的读地址,将从所述缓存模块相应的缓存单元中读取的数据发送给该设备。
3.按照权利要求1或2所述的装置,其特征在于,所述可替代EEPROM的存储器通过闪速存储器(FLASH)、磁阻式随机存储器(MRAM)或非 易失性铁电存储器(FRAM)中的任意一种存储器实现;所述控制模块通过微处理单元(MPU)、微控制单元(MCU)、专用控制器、现场可编程门 阵列(FPGA)形成的控制单元以及数字信号处理器(DSP)中的任意一种实现;所述缓存模块通过随机存取存储器(RAM)实现;所述EEPROM接口协议模块,根据EEPROM接口协议通过IC间总线(I2C)模块、串行外 设接口(SPI)模块以及Microwire接口模块中的任意一种实现,或者通过并行接口模块实 现;并且,所述可替代EEPROM的存储器、所述控制模块、所述缓存模块以及所述EEPROM接 口协议模块中的一个或多个通过电子装置中现有器件实现。
4.按照权利要求3所述的装置,其特征在于,所述缓存模块通过双口 RAM实现,所述控制模块的数据总线输出端、地址总线输出端、 时钟输出端以及写使能输出端分别连接所述双口 RAM的第一接口的数据总线输入端、写地 址输入端、时钟输入端和写使能输入端;所述EEPROM接口协议模块通过I2C模块实现,所述双口 RAM的第二接口的数据总线 输出端、读地址输入端、读使能输入端、时钟输入端分别连接所述I2C模块的数据总线输入 端、读地址输出端、读使能输出端和所述控制模块的时钟输出端;所述I2C模块的串行数据 信号线和时钟信号线分别连接到外部I2C总线的串行数据信号线和时钟信号线。
5.按照权利要求4所述的装置,其特征在于,所述双口RAM和所述I2C模块均由所述 FPGA形成;所述控制模块在所述FPGA正常工作后,从所述FLASH中取出相应的数据,在所述写使 能输出端输出的写使能有效信号、所述地址总线输出端输出的写地址信号和所述时钟输出 端输出的时钟信号的作用下,将从所述可替代EEPROM的存储器读取的所述数据通过所述 数据总线输出端写入到所述双口 RAM的所述第一接口 ;所述I2C模块时钟信号线的时钟信号从所述串行数据信号线接收写指示接收读地址, 并将该读地址映射为所述双口 RAM的第二接口读地址;根据从所述串行数据信号线接收的 读指示和所述双口 RAM的第二接口读地址,将从所述双口 RAM相应的单元中读取的数据通 过所述串行数据线发送。
6.一种电可擦除可编程只读存储器(EEPROM)的实现方法,涉及控制模块和EEPROM接 口协议模块,该方法包括所述控制模块通过运行在线软件将以往要写入EEPROM的数据自动写入可替代EEPROM 的存储器中,然后从该可替代EEPROM的存储器中读取该写入的数据写入到缓存中;EEPROM接口协议模块根据要访问所述EEPROM的设备给出的读地址,从所述缓存中读 取相应的数据发送给该设备。
7.按照权利要求6所述的方法,其特征在于,所述EEPROM接口协议模块根据所述设备 给出的读地址,从所述缓存中读取相应的数据发送给该设备,具体包括所述EEPROM接口协议模块通过EEPROM接口协议与所述设备通讯,根据所述设备给出 的写指示接收所述读地址,并将该读地址映射为所述缓存的读地址;根据该设备给出的读 指示和映射的所述缓存的读地址,将从所述缓存相应的缓存单元中读取的数据发送给该设 备。
8.按照权利要求6或7所述的方法,其特征在于,所述可替代EEPROM的存储器通过闪速存储器(FLASH)、磁阻式随机存储器(MRAM)或非 易失性铁电存储器(FRAM)中的任意一种存储器实现;所述控制模块通过微处理单元(MPU)、微控制单元(MCU)、专用控制器、现场可编程门 阵列(FPGA)形成的控制单元以及数字信号处理器(DSP)中的任意一种实现;所述缓存通过随机存取存储器(RAM)实现;所述EEPROM接口协议模块,根据EEPROM接口协议通过IC间总线(I2C)模块、串行外 设接口(SPI)模块以及Microwire接口模块中的任意一种实现,或者通过并行接口模块实 现;并且,所述可替代EEPROM的存储器、所述控制模块、所述缓存模块以及所述EEPROM接 口协议模块中的一个或多个通过电子装置中现有器件实现。
9.按照权利要求8所述的方法,其特征在于,所述控制模块的数据总线输出端、地址总线输出端、时钟输出端以及写使能输出端分 别连接作为所述缓存的一双口 RAM的第一接口的数据总线输入端、写地址输入端、时钟输 入端和写使能输入端;所述EEPROM接口协议模块通过I2C模块实现,所述I2C模块的数据总线输入端、读地 址输出端、读使能输出端和所述控制模块的时钟输出端分别连接所述双口 RAM的第二接口 的数据总线输出端、读地址输入端、读使能输入端、时钟输入端,所述I2C模块的串行数据 信号线和时钟信号线分别连接到外部I2C总线的串行数据信号线和时钟信号线。
10.按照权利要求9所述的方法,其特征在于,所述双口RAM和所述I2C模块均由所述 FPGA形成;所述控制模块在所述FPGA正常工作后,从所述FLASH中取出相应的数据,在所述写使 能输出端输出的写使能有效信号、所述地址总线输出端输出的写地址信号和所述时钟输出端输出的时钟信号的作用下,将从所述可替代EEPROM的存储器读取的所述数据通过所述 数据总线输出端写入到所述双口 RAM的所述第一接口 ;所述I2C模块时钟信号线的时钟信号从所述串行数据信号线接收写指示接收读地址, 并将该读地址映射为所述双口 RAM的第二接口读地址;根据从所述串行数据信号线接收的 读指示和所述双口 RAM的第二接口读地址,将从所述双口 RAM相应的单元中读取的数据通 过所述串行数据线发送。
全文摘要
本发明披露了一种电可擦除可编程只读存储器(EEPROM)的实现方法和装置,涉及控制模块和EEPROM接口协议模块,其中,控制模块通过运行在线软件将以往要写入EEPROM的数据自动写入可替代EEPROM的存储器中,然后从该可替代EEPROM的存储器中读取该写入的数据写入到缓存中;EEPROM接口协议模块根据要访问EEPROM的设备给出的读地址,从缓存中读取相应的数据发送给该设备。本发明可以由控制模块自动完成原EEPROM需人工操作才能写入配置数据的操作,简化了产品量产的工序,且便于产品的升级,同时还节约了PCB面积,由此降低了产品的硬件成本。
文档编号G11C16/06GK102063939SQ200910223388
公开日2011年5月18日 申请日期2009年11月18日 优先权日2009年11月18日
发明者李向龙 申请人:中兴通讯股份有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1