一种半导体结构的形成方法与流程

文档序号:17813463发布日期:2019-06-05 21:17阅读:246来源:国知局
一种半导体结构的形成方法与流程

本揭露是关于半导体结构的形成方法。



背景技术:

锗或三五族化合物的可能的应用除了用于光电(高速及高效率的光感测器或高速薄膜晶体管),在硅晶圆上的异质磊晶的主要目标是用锗及三五族化合物取代硅作为未来的互补式金属氧化物半导体技术节点的潜力,包含异质磊晶直接长于硅上的技术(举例而言,应变松弛缓冲),使得缺陷密度大于104/cm2



技术实现要素:

根据本揭露的部分实施方式,一种半导体结构的形成方法,包含蚀刻一半导体基材,半导体基材具有沿(001)晶面延伸的一顶面,使被蚀刻的半导体基材的顶面的一大部分沿{001}晶面延伸;形成一第一磊晶层,接触被蚀刻的半导体基材的顶面;以及形成一第二磊晶层于第一磊晶层上。

附图说明

阅读以下详细叙述并搭配对应的附图,可了解本揭露的多个样态。需留意的是,附图中的多个特征并未依照该业界领域的标准作法绘制实际比例。事实上,所述的特征的尺寸可以任意的增加或减少以利于讨论的清晰性。

图1是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图;

图2是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的俯视图;

图3是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图;

图4是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图5是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图6是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图7是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图8是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图9是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图;

图10是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的俯视图;

图11是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图;

图12是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图13是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图14是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图15是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图;

图16是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的俯视图;

图17是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图;

图18是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;

图19是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图;以及

图20是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的剖面图。

具体实施方式

以下将以附图及详细说明清楚说明本揭露的精神,任何所属技术领域中具有通常知识者在了解本揭露的实施例后,当可由本揭露所教示的技术,加以改变及修饰,其并不脱离本揭露的精神与范围。举例而言,叙述“第一特征形成于第二特征上方或上”,于实施例中将包含第一特征及第二特征具有直接接触;且也将包含第一特征和第二特征为非直接接触,具有额外的特征形成于第一特征和第二特征之间。此外,本揭露在多个范例中将重复使用元件标号以和/或文字。重复的目的在于简化与厘清,而其本身并不会决定多个实施例以和/或所讨论的配置之间的关系。

此外,方位相对词汇,如“在…之下”、“下面”、“下”、“上方”或“上”或类似词汇,在本文中为用来便于描述绘示于附图中的一个元件或特征至另外的元件或特征的关系。方位相对词汇除了用来描述装置在附图中的方位外,其包含装置于使用或操作下的不同的方位。当装置被另外设置(旋转90度或者其他面向的方位),本文所用的方位相对词汇同样可以相应地进行解释。

鳍式场效晶体管及其形成方法是根据多个实施方式来提供,制作鳍式场效晶体管的不同阶段是经由附图来呈现,部分实施方式的变化将在以下进行论述,且在多个示意图及实施方式中,相似的参考符号代表相似的步骤或特征。

图1是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图。图2是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的俯视图。硅基材100示于图中。于部分实施方式中,硅基材100为具有(100)晶向的(100)基材,硅基材100亦可具有其他晶向,例如(110)晶向。硅基材100可为块状基材,如图1所示。硅基材100亦可为具有埋入式氧化物(未显示)的绝缘体上覆硅(silicon-on-insulator)基材。于部分实施方式中,硅基材100是在半导体晶片中或晶圆的一部分中。

遮罩层101形成于硅基材100上且被图案化成多个图案化的遮罩部分102,如图1及图2所示。遮罩层101为介电材料所形成,例如氧化硅(热氧化物(thermaloxide)或沉积的氧化物)。或者,遮罩层101可由其他材料所形成,例如氮化硅(siliconnitride)、氮氧化硅(siliconoxynitride)、氧化铝(aluminumoxide)、类似物或以上的组合。

于部分实施方式中,图案化的遮罩部分102具有重复的图案。硅基材100透过图案化的遮罩部分102之间的开口所露出,图案化的遮罩部分102以多列和多行所排列。具有周期性的图案的图案化的遮罩部分102有益于定义接下来要形成的硅金字塔(pyramid)的边界,因此,硅金字塔的尺寸和图案密度的均匀度可被提升。于图2所示,图案化的遮罩部分102为非交错(non-staggered)的。于其他实施方式中,图案化的遮罩部分102为交错(staggered)的。于部分实施方式中,图案化的遮罩部分102为等间距。于部分实施方式中,图案化的遮罩部分102代表点(dot),点状遮罩部分102的直径w1实质上等于点状遮罩部分102之间的间距w2。点状遮罩部分102的直径可决定接下来要形成的硅金字塔的高度,因此,点状遮罩部分102的直径可被控制,举例而言,为约10纳米至约100纳米。于部分实施方式中,点状遮罩部分102的宽度w1为约5纳米至约30纳米。于部分实施方式中,点状遮罩部分102的宽度w1可小于约500纳米。若点状遮罩部分102的宽度w1大于约500纳米,则接下来要形成的凹槽与金字塔可能具有大的表面形貌(topography),而不利于接下来的磊晶制程。于部分实施方式中,点状遮罩部分102的宽度w1为约5纳米至约15纳米。可以理解的是,然而,本揭露内容中所叙述的尺寸仅为示例,不同的尺寸亦可作为使用。此外,遮罩部分102的点状形状亦仅为示例,于其他实施方式中,图案化的遮罩部分102的形状可包含正方形、长方形或椭圆形,但本揭露不以此为限。

接着,硅基材100的一些部分被以图案化的遮罩层101作为蚀刻遮罩而蚀刻,以形成硅金字塔105。硅金字塔105以对应的凹槽104分隔。于部分实施方式中,若图案化的遮罩层101是由氧化硅所形成,则蚀刻制程亦移除图案化的遮罩层101。于其他部分实施方式中,额外的蚀刻制程亦可被使用以移除图案化的遮罩层101。得到的结构如图3和图4所示,其中图3是立体图,图4是沿线4-4的横截面。于部分实施方式中,举例而言,蚀刻硅基材100可用非等向性(anisotropic)蚀刻。

非等向性蚀刻可使用氢氧化钾(potassiumhydroxide;koh)为基础的溶液、四甲基氢氧化铵(tetramethylammoniumhydroxide;tmah)为基础的溶液、类似物或以上的组合。氢氧化钾与四甲基氢氧化铵对(100)晶面和(110)晶面的蚀刻速率高于对(111)晶面的蚀刻速率。举例而言,四甲基氢氧化铵对(100)晶面:(110)晶面:(111)晶面的蚀刻速率比率可满足:1:0.5:0.01。(100)晶面、(110)晶面与(111)晶面之间的蚀刻速率差异产生图3所绘示的金字塔结构。各金字塔105具有v形横截面轮廓,如图4所示。金字塔105的侧壁s1及s2具有(111)晶向。于部分实施方式中,金字塔105具有高度d1,高度d1是从顶端(或波峰)107至波谷103的垂直距离。于部分实施方式中,金字塔105的高度d1为约5纳米至约80纳米,例如可为约10纳米至约20纳米,例如14纳米。

于部分实施方式中,凹槽104与对应的金字塔105可使用体积百分比为约20%至约40%的氢氧化钾水溶液以及在温度为约60℃至约80℃下所形成。于其他部分实施方式中,凹槽104及所对应的金字塔105可使用体积百分比为约40%至约50%的氢氧化钾水溶液以及在温度为约110℃至约130℃下所形成。

于其他部分实施方式中,凹槽104与对应的金字塔105可使用体积百分比为约15%至约25%的四甲基氢氧化铵水溶液以及在温度为约75℃至约85℃下所形成。于其他实施方式中,凹槽104与对应的金字塔105可使用体积百分比为约3%至约7%的四甲基氢氧化铵水溶液以及在温度为约85℃至约95℃下所形成。于部分实施方式中,凹槽104与对应的金字塔105可使用体积百分比为约20%至约25%的四甲基氢氧化铵水溶液以及在温度为约85℃至约95℃下所形成。

参照图5。第一磊晶层118形成于至少一个凹槽104中,第二磊晶层120形成于第一磊晶层118上。第一磊晶层118及/或第二磊晶层120由与基材100晶格不匹配(latticemismatch)的一或多种材料所形成。于部分实施方式中,第一磊晶层118及/或第二磊晶层120由锗所形成。锗与硅之间的晶格不匹配为约4%。于其他实施方式中,第一磊晶层118及/或第二磊晶层120由一或多种三五族化合物所形成。三五族化合物与硅之间的晶格不匹配为约8%至约12%。因此,若凹槽104不存在于基材100中,第一磊晶层118及/或第二磊晶层120可能因为第一磊晶层118与基材100之间的晶格不匹配而具有磊晶缺陷(epitaxydefect)。举例而言,磊晶缺陷可为线差排(threadingdislocation;td)。

因第一磊晶层118形成于凹槽104中,第一磊晶层118中的线差排终止于凹槽104的侧壁上。也就是说,凹槽104可使线差排终止于第一磊晶层118中。换句话说,沿不同方向延伸的线差排可被捕获(trap)于凹槽104中。第一及第二磊晶层118及120可由选择性磊晶成长于适合的沉积系统中所形成,包含金属有机化学气相沉积(metal-organicchemicalvapordeposition;mocvd)、常压化学气相沉积(atmospheric-pressurecvd;apcvd)、低压化学气相沉积(low(reduced)pressurecvd;lpcvd)、超高真空化学气相沉积(ultra-high-vacuumcvd;uhvcvd)、分子束沉积(molecularbeamepitaxy;mbe)、或原子层沉积(atomiclayerdeposition;ald)。于部分实施方式中,化学机械抛光(chemicalmechanicalpolishing;cmp)可用于平坦化第二磊晶层120的顶面。在第二磊晶层120形成之后,一或多个制程步骤可被执行,以形成一或多个主动元件的构成要素于第二磊晶层120上,例如n型通道金属氧化物半导体场效晶体管(n-channelmetal-oxide-semiconductorfield-effecttransistor;n-channelmosfet)、p型通道金属氧化物半导体场效晶体管、平面式金属氧化物半导体场效晶体管(planarmosfet)或鳍式场效晶体管(finfield-effecttramsistor;finfet),如图6所绘示。

图6绘示形成于第二磊晶层120上的晶体管范例,晶体管包含栅极结构126、形成于栅极结构126的相对侧的源极/漏极(source/drain)(s/d)区域130。栅极结构126形成于第二磊晶层120上。于部分实施方式中,栅极结构126包含栅极介电层122与形成于栅极介电层122上的栅极电极层124。

于部分实施方式中,栅极介电层122包含氧化硅、氮氧化硅或高k介电材料。高k介电材料被定义为是具有介电常数(dielectricconstant)高于二氧化硅(silicondioxide)的介电材料,高k介电材料包含金属氧化物。于部分实施方式中,金属氧化物是选自锂(li)、铍(be)、锰(mg)、钙(ca)、锶(sr)、钪(sc)、钇(y)、锆(zr)、铪(hf)、铝(al)、镧(la)、铈(ce)、镨(pr)、钕(nd)、钐(sm)、铕(eu)、钆(gd)、铽(tb)、镝(dy)、钬(ho)、铒(er)、铥(tm)、镱(yb)、镏(lu)的氧化物或以上的组合。于部分实施方式中,栅极介电层122是由适合的制程所形成,例如化学气相沉积制程或原子层沉积制程。于部分实施方式中,栅极介电层122还包含中间层(未绘示)以降低栅极介电层122与第二磊晶层120之间的应力。于部分实施方式中,中间层是以使用热氧化制程成长的氧化硅或氮氧化硅所形成。举例而言,中间层可由快速热氧化(rapidthermaloxidation;rto)或在含有氧气的退火制程中所成长。

于部分实施方式中,栅极电极层124包含单层或多层结构。于部分实施方式中,栅极电极层124包含多晶硅。此外,栅极电极层124可为具有均匀或梯度掺杂的掺杂的多晶硅。于部分实施方式中,栅极电极层124可由低压化学气相沉积所形成。于其他部分实施方式中,栅极电极层124为金属栅极。

于部分实施方式中,间隔物128形成于栅极结构126的相对侧。举例而言,间隔物128由氧化硅、氮化硅、氮氧化硅、碳化硅、掺杂氟的硅玻璃(fluoride-dopedsilicateglass;fsg)、低k介电材料及/或以上的组合。于部分实施方式中,至少一个间隔物128可具有多层结构,例如包含一或多层垫层(linerlayer)。

于部分实施方式中,源极/漏极区域130形成于第二磊晶层120中。于部分实施方式中,形成于第二磊晶层120中的源极/漏极区域130横向地以间隔物128与栅极结构126间隔开。于部分实施方式中,源极/漏极区域130由使用离子布植所形成。举例而言,n型掺杂物(像是磷)或p型掺杂物(像是硼)被掺杂入不被间隔物128和栅极结构126覆盖的至少一部份的第二磊晶层120,以形成源极/漏极区域130。于部分实施方式中,源极/漏极区130磊晶成长于第二磊晶层120上,磊晶成长的源极/漏极区130可以n型掺杂物或p型掺杂物原位掺杂(in-situdoping)。

于部分实施方式中,至少一个隔离区域132形成于基材100中,以与第二磊晶层120的各种主动区域隔离。隔离区域132可使用隔离技术,像是硅的局部氧化(localoxidationofsilicon;locos)或浅沟槽隔离(shallowtrenchisolation;sti)区域,以定义且电性隔离第二磊晶层120的各种主动区域。隔离区域132包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅玻璃、低k介电材料、其他适合的材料或以上的组合。

进一步的制程可被执行,以形成各种特征,像是额外的层间介电层、接触/通孔、内连接金属层、及钝化层等。于上述的实施方式中,平面式场效晶体管形成于第二磊晶层上,此仅为示例,本揭露的其他实施方式包含形成其他元件于第二磊晶层120上,像是鳍式场效晶体管元件、环绕式栅极(gate-all-around;gaa)元件、omega栅极(a-gate)元件、pi栅极(h-栅极)元件。此外,此处所揭露的实施方式可应用于p型及/或n型元件的形成。熟悉此技艺者可理解半导体元件的其他实施方式可受惠于本揭露的态样。

于其他部分实施方式中,基材100的顶面可不具有v形横截面。此外,基材100可被过度蚀刻(over-etched)或蚀刻不足(under-etched),如图7及图8所示。参照图7,基材100为蚀刻不足,使硅金字塔105a的面可具有多于一个晶向。举例而言,硅金字塔105a的顶面ts1具有(100)晶向,硅金字塔105a的侧壁s3及s4具有(111)晶向。于部分实施方式中,凹槽104a的深度d2为约2纳米至约7纳米。图8为另一半导体元件在蚀刻基材100后的部分实施方式的横截面,此基材100被过度蚀刻。

图9是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图。图10为根据本揭露的部分实施方式中的图9的俯视图。硅基材100如图所绘示。于部分实施方式中,硅基材100是具有(100)晶向的(100)基材,硅基材100亦可具有其他晶向,例如(110)晶向。硅基材100可为块状基材,如图1所示,或是具有埋入式氧化物(未显示)的绝缘体上覆硅基材。于部分实施方式中,硅基材100是在半导体晶片中或晶圆的一部分中。

如图9及图10所示,遮罩层201形成于硅基材200上且被图案化成具有透过孔洞h1露出基材200的图案化的遮罩202。遮罩层201是以介电材料所形成,如上述对图1及图2的讨论。

于部分实施方式中,图案化遮罩202中的孔洞h1具有重复的图案。露出基材200的孔洞h1以多列与多行排列。周期性的孔洞h1的图案有助于定义接下来要形成的凹槽的边界,因此凹槽的尺寸和图案密度的均匀性可提升。如图10所示,孔洞h1是非交错的。于其他部分实施方式中,孔洞h1是交错的。于部分实施方式中,孔洞h1间距相等。于部分实施方式中,孔洞h1为圆形孔洞,孔洞h1的直径w3实质上等于孔洞h1之间的间距w4。圆形孔洞h1的直径w3决定接下来形成的凹槽的深度,因此圆形孔洞h1的直径w1可被控制为,举例而言,介于约10纳米至约100纳米之间。于部分实施方式中,孔洞h1的直径w3小于约500纳米。若孔洞h1的直径w3大于约500纳米,接下来要形成的凹槽可具有大的表面形貌,而不利于接下来的磊晶制程。于其他实施方式中,孔洞h1的直径w3为约5纳米至约15纳米。可以理解的是,然而,本揭露内容中所叙述的尺寸仅为示例,不同的尺寸亦可作为使用。此外,孔洞h1的圆形亦仅为示例,于其他实施方式中,孔洞h1的形状可包含正方形、长方形或椭圆形,但本揭露不以此为限。

接着,硅基材200的一些部分以图案化的遮罩层201作为蚀刻遮罩而被蚀刻,以形成凹槽204。于部分实施方式中,若图案化的遮罩层201为氧化硅,则蚀刻制程亦移除图案化的遮罩层201。于部分实施方式中,额外的蚀刻制程被使用以移除图案化的遮罩层201。得到的结构如图11及图12所示,其中图11为立体图,图12为剖面图。于部分实施方式中,基材200的蚀刻可为非等向性湿式蚀刻。

非等向性湿式蚀刻可使用以氢氧化钾为基础的溶液、四甲基氢氧化铵为基础的溶液、类似物或以上的组合。氢氧化钾与四甲基氢氧化铵对(100)晶面及(110)晶面的蚀刻速率高于对(111)晶面的蚀刻速率。举例而言,四甲基氢氧化铵对(100)晶面:(110)晶面:(111)晶面的蚀刻速率比率可满足1:0.5:0.01。因此,由非等向性湿式蚀刻所形成的凹槽204具有实质上反向的金字塔形状,如图11所示。凹槽204可具有v形横截面轮廓,如图12所示。凹槽204的侧壁s5及s6具有(111)晶面。于部分实施方式中,凹槽204的深度d3为约50纳米至约300纳米,例如约250纳米至约275纳米,例如265纳米。换句话说,凹槽204具有从凹槽204的顶端(或波峰)207至约波谷203的深度。

于部分实施方式中,凹槽204可由体积百分比为约20%至约40%的氢氧化钾水溶液及在温度为约60℃至约80℃下所形成。于其他实施方式中,凹槽204可由体积百分比为约40%至约50%的氢氧化钾水溶液及在温度为约110℃至约130℃下所形成。

参照图13。第一磊晶层218形成于至少一个凹槽204中,第二磊晶层220形成于第一磊晶层218上。第一磊晶层218及/或第二磊晶层220由与基材200晶格不匹配的一或多种材料所形成。于部分实施方式中,第一磊晶层218及/或第二磊晶层220由锗所形成。锗与硅之间的晶格不匹配为约4%。于其他实施方式中,第一磊晶层218及/或第二磊晶层220由一或多种三五族化合物所形成。三五族化合物与硅之间的晶格不匹配为约8%至约12%。因此,若凹槽204不存在于基材200中,第一磊晶层218及/或第二磊晶层220可能因为第一磊晶层218与基材200之间的晶格不匹配而具有磊晶缺陷。举例而言,磊晶缺陷可为线差排。

因为第一磊晶层218形成于凹槽204中,第一磊晶层118中的线差排终止于凹槽204的侧壁上。换句话说,凹槽可终止于第一磊晶层218中。也就是说,沿不同方向延伸的线差排可被捕获于凹槽204中。第一及第二磊晶层218及220可在适合的磊晶沉积系统中由选择性磊晶成长所形成,包含金属有机化学气相沉积(metal-organicchemicalvapordeposition;mocvd)、常压化学气相沉积(atmospheric-pressurecvd;apcvd)、低压化学气相沉积(low(reduced)pressurecvd;lpcvd)、超高真空化学气相沉积(ultra-high-vacuumcvd;uhvcvd)、分子束沉积(molecularbeamepitaxy;mbe)、或原子层沉积(atomiclayerdeposition;ald)。于部分实施方式中,化学机械抛光可被使用以平坦化第二磊晶层220的顶面。第二磊晶层220可作为后续的元件制造中使用。

图14绘示形成于第二磊晶层220上的晶体管示例。参照图14,至少一个栅极结构226形成于基材200上。于部分实施方式中,至少一个栅极结构226包含栅极介电层222与栅极电极层224,栅极电极层224形成于栅极介电层222上。

于部分实施方式中,栅极介电层222包含氧化硅、氮氧化硅或高k介电材料。高k介电材料被定义为是具有介电常数(dielectricconstant)高于二氧化硅(silicondioxide)的介电材料,高k介电材料包含金属氧化物。于部分实施方式中,金属氧化物是选自锂(li)、铍(be)、锰(mg)、钙(ca)、锶(sr)、钪(sc)、钇(y)、锆(zr)、铪(hf)、铝(al)、镧(la)、铈(ce)、镨(pr)、钕(nd)、钐(sm)、铕(eu)、钆(gd)、铽(tb)、镝(dy)、钬(ho)、铒(er)、铥(tm)、镱(yb)、镏(lu)的氧化物或以上的组合。于部分实施方式中,栅极介电层222是由适合的制程所形成,例如化学气相沉积制程或原子层沉积制程。于部分实施方式中,栅极介电层22还包含中间层(未绘示)以降低栅极介电层222与基材200之间的应力。于部分实施方式中,中间层是以使用热氧化制程成长的氧化硅或氮氧化硅所形成。举例而言,中间层可由快速热氧化(rapidthermaloxidation;rto)或在含有氧气的退火制程中所成长。

于部分实施方式中,栅极电极层224包含单层或多层结构。于部分实施方式中,栅极电极层224包含多晶硅。此外,栅极电极层224可为具有均匀或梯度掺杂的掺杂的多晶硅。于部分实施方式中,栅极电极层224可由低压化学气相沉积所形成。于其他部分实施方式中,栅极电极层224为金属栅极。

于部分实施方式中,间隔物228形成于栅极结构226的相对侧。举例而言,间隔物228由氧化硅、氮化硅、氮氧化硅、碳化硅、掺杂氟的硅玻璃(fluoride-dopedsilicateglass;fsg)、低k介电材料及/或以上的组合。于部分实施方式中,至少一个间隔物228可具有多层结构,例如包含一或多层垫层(linerlayer)。

于部分实施方式中,源极/漏极区域230形成于基材200的主动区中。于部分实施方式中,形成于基材200中的源极/漏极区域230横向地与栅极结构226间隔开(例如:邻近于栅极结构226下方的基材200的区域)。于部分实施方式中,源极/漏极区域230由使用离子布植所形成。举例而言,n型掺杂物(像是磷)或p型掺杂物(像是硼)被掺杂入不被间隔物228及栅极结构226覆盖的基材200的至少一部份,以形成源极/漏极区域230。

于部分实施方式中,至少一个隔离区域232形成于基材200中,以与基材200的各种主动区域隔离。隔离区域232可使用隔离技术,像是硅的局部氧化(localoxidationofsilicon;locos)或浅沟槽隔离(shallowtrenchisolation;sti)区域,以定义且电性隔离基材200的各种主动区域。于部分实施方式中,至少一个隔离区域232作为浅沟槽隔离区域。隔离区域232包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅玻璃、低k介电材料、其他适合的材料或以上的组合。

进一步的制程可被执行,以形成各种特征,像是额外的层间介电层、接触/通孔、内连接金属层、及钝化层等。于上述的实施方式中,平面式场效晶体管被采用。值得注意的是,本揭露的实施方式亦可用于形成多重栅极晶体管,像是鳍式场效晶体管元件、环绕式栅极(gate-all-around;gaa)元件、omega栅极(a-gate)元件、pi栅极(h-栅极)元件、也可以形成应变(strained)半导体元件、绝缘体上覆硅元件、部分空乏(partiallydepleted)绝缘体上覆硅元件以及完全空乏(fullydepleted)绝缘体上覆硅元件。此外,此处所揭露的实施方式可应用于p型及/或n型元件的形成。熟悉此技艺者可理解半导体元件的其他实施方式可受惠于本揭露的态样。

图15是根据本揭露的部分实施方式中,制作半导体结构的不同阶段的立体图。图16为根据本揭露的部分实施方式的图15的俯视图。硅基材300示于图中。于部分实施方式中,硅基材300为具有(100)晶向的(100)基材,硅基材300亦可具有其他晶向,例如(110)晶向。硅基材300可为块状基材,如图15所示,或可为具有埋入式氧化物(未显示)的绝缘体上覆硅基材。于部分实施方式中,硅基材300是在半导体晶片中或晶圆的一部分中。

如图15及图16所示。遮罩层301形成于硅基材300上且被图案化成具有露出基材300的孔洞h2的图案化的遮罩302。遮罩层301是以介电材料所形成,如图1及图2所述。

于部分实施方式中,图案化遮罩302中的孔洞h2具有交错的图案。露出基材300的孔洞h2以多列和多行排列。孔洞h2的图案有助于定义后续形成的凹槽的边界,因此,凹槽的尺寸和图案密度可更加均匀。于部分实施方式中,孔洞h2等间距排列。于部分实施方式中,孔洞h2为圆形孔洞,孔洞h2的直径w5实质上等于孔洞h2之间的间距w6。孔洞h2的直径可决定后续形成的凹槽的深度,因此,孔洞h2的直径可被控制为,举例而言,约10纳米至约100纳米之间。于部分实施方式中,孔洞h2的直径w5为小于约500纳米。若孔洞h2的直径w5为大于约500纳米,则后续形成的凹槽可具有大的表面形貌,而不利于接下来的磊晶制程。于部分实施方式中,点状遮罩部分102的宽度w1为约5纳米至约15纳米。可以理解的是,然而,本揭露内容中所叙述的尺寸仅为示例,不同的尺寸亦可作为使用。此外,孔洞的形状亦仅为示例,于其他实施方式中,孔洞h2的形状可包含正方形、长方形或椭圆形,但本揭露不以此为限。

接着,硅基材300的一些部分使用图案化的遮罩层301作为蚀刻遮罩而被蚀刻,以形成凹槽304。于部分实施方式中,若图案化的遮罩层301是用氧化硅做的,则蚀刻制程亦移除图案化的遮罩层301。得到的结构示于图17及图18,其中图17为立体图,图18为剖面图。于部分实施方式中,对基材300的蚀刻可为非等向性湿式蚀刻。

非等向性蚀刻可使用以氢氧化钾为基础的溶液、四甲基氢氧化铵为基础的溶液、类似物或以上的组合。氢氧化钾与四甲基氢氧化铵对(100)晶面及(110)晶面的蚀刻速率高于对(111)晶面的蚀刻速率。举例而言,在四甲基氢氧化铵中,对(100)晶面、(110)晶面、(111)晶面的蚀刻速率比率可为1:0.5:0.01。因此,以非等向性蚀刻的凹槽304具有实质上倒金字塔形状,如图17所示。凹槽304可具有v形横截面轮廓,如图18所示。凹槽304的侧壁s7及s8具有(111)晶向。于部分实施方式中,凹槽304的深度d4介于约50纳米至约300纳米,举例而言,约240纳米至约260纳米,例如250纳米。换句话说,凹槽304的深度为从顶端(波峰)307至凹槽的波谷304。

于部分实施方式中,凹槽304可使用体积百分比为约20%至约40%的氢氧化钾水溶液以及在温度为约60℃至约80℃下所形成。在其他部分实施方式中,凹槽304可使用体积百分比为约40%至约50%的氢氧化钾水溶液以及在温度为约110℃至约130℃下所形成。

参照图19,第一磊晶层318形成于至少一个凹槽304中,第一磊晶层318及/或第二磊晶层320由与基材300晶格不匹配的一或多种材料所形成。于部分实施方式中,第一磊晶层318及/或第二磊晶层320由锗所形成。锗与硅之间的晶格不匹配为约4%。于其他部分实施方式中,第一磊晶层318及/或第二磊晶层320由一或多种三五族化合物所形成。三五族化合物与硅之间的晶格不匹配为约8%至约12%。因此,若凹槽304不存在于基材300中,则因为第一磊晶层与基材300之间的晶格不匹配,第一磊晶层318及/或第二磊晶层320可能具有磊晶缺陷。举例而言,磊晶缺陷可能为线缺陷。

因第一磊晶层318形成于凹槽304中,第一磊晶层318中的缺陷终止于凹槽304的侧壁上,也就是说,凹槽304可终止于第一磊晶层318中。换句话说,沿个方向延伸的线缺陷可被捕获于凹槽304中。第一及第二磊晶层318及320可由选择性磊晶成长于适合的磊晶沉积系统中所形成,包含金属有机化学气相沉积(metal-organicchemicalvapordeposition;mocvd)、常压化学气相沉积(atmospheric-pressurecvd;apcvd)、低压化学气相沉积(low(reduced)pressurecvd;lpcvd)、超高真空化学气相沉积(ultra-high-vacuumcvd;uhvcvd)、分子束沉积(molecularbeamepitaxy;mbe)、或原子层沉积(atomiclayerdeposition;ald)。于部分实施方式中,化学机械抛光(chemicalmechanicalpolishing;cmp)可用于平坦化第二磊晶层320的顶面。第二磊晶层320可用于接下来的元件制程。

图20绘示形成于第二磊晶层320上的晶体管示例。参照图20,至少一个栅极结构326形成于基材300上。于部分实施方式中,至少一个栅极结构326包含栅极介电层322与栅极电极层324,栅极电极层324形成于栅极介电层322上。

于部分实施方式中,栅极介电层322包含氧化硅、氮氧化硅、或高k介电材料。高k介电材料被定义为是具有介电常数(dielectricconstant)高于二氧化硅(silicondioxide)的介电材料,高k介电材料包含金属氧化物。于部分实施方式中,金属氧化物是选自锂(li)、铍(be)、锰(mg)、钙(ca)、锶(sr)、钪(sc)、钇(y)、锆(zr)、铪(hf)、铝(al)、镧(la)、铈(ce)、镨(pr)、钕(nd)、钐(sm)、铕(eu)、钆(gd)、铽(tb)、镝(dy)、钬(ho)、铒(er)、铥(tm)、镱(yb)、镏(lu)的氧化物或以上的组合。于部分实施方式中,栅极介电层322是由适合的制程所形成,例如化学气相沉积制程或原子层沉积制程。于部分实施方式中,栅极介电层322还包含中间层(未绘示)以降低栅极介电层322与基材300之间的应力。于部分实施方式中,中间层是以使用热氧化制程成长的氧化硅或氮氧化硅所形成。举例而言,中间层可由快速热氧化(rapidthermaloxidation;rto)或在含有氧气的退火制程中所成长。

于部分实施方式中,栅极电极层324包含单层或多层结构。于部分实施方式中,栅极电极层324包含多晶硅。此外,栅极电极层324可为具有均匀或梯度掺杂的掺杂的多晶硅。于部分实施方式中,栅极电极层324可由低压化学气相沉积所形成。于其他部分实施方式中,栅极电极层324为金属栅极。

于部分实施方式中,间隔物328形成于栅极结构326的相对侧。举例而言,间隔物328由氧化硅、氮化硅、氮氧化硅、碳化硅、掺杂氟的硅玻璃(fluoride-dopedsilicateglass;fsg)、低k介电材料及/或以上的组合。于部分实施方式中,至少一个间隔物328可具有多层结构,例如包含一或多层垫层(linerlayer)。

于部分实施方式中,源极/漏极区域330形成于基材300的主动区中。于部分实施方式中,形成于基材300中的源极/漏极区域330横向地以间隔物320与栅极结构326间隔开(例如:邻近于在栅极结构326下方的基材300的区域)。于部分实施方式中,源极/漏极区域330由使用离子布植所形成。举例而言,n型掺杂物(像是磷)或p型掺杂物(像是硼)被掺杂入不被间隔物328和栅极结构326覆盖的至少一部份的基材300,以形成源极/漏极区域330。

于部分实施方式中,至少一个隔离区域332形成于基材300中,以与基材300的各种主动区域隔离。隔离区域332可使用隔离技术,像是硅的局部氧化(localoxidationofsilicon;locos)或浅沟槽隔离(shallowtrenchisolation;sti)区域,以定义且电性隔离基材300的各种主动区域。隔离区域332包含氧化硅、氮化硅、氮氧化硅、掺杂氟的硅玻璃、低k介电材料、其他适合的材料或以上的组合。

进一步的制程可被执行,以形成各种特征,像是额外的层间介电层、接触/通孔、内连接金属层、及钝化层等。于上述的实施方式中,平面式场效晶体管形成于第二磊晶层上,此仅为示例,本揭露的其他实施方式包含形成多栅极晶体管,像是鳍式场效晶体管元件、环绕式栅极(gate-all-around;gaa)元件、omega栅极(a-gate)元件、pi栅极(h-栅极)元件。此外,此处所揭露的实施方式可应用于p型及/或n型元件的形成。熟悉此技艺者可理解半导体元件的其他实施方式可受惠于本揭露的态样。

根据上述讨论,可以看出本揭露提供一些优点。可理解的是,其他实施方式亦提供额外的优点,并非所有的优点都在此处被必须地揭露,没有特定的优点被所有的实施方式需要。其中一个优点是,因为缺陷可被捕获于具有(111)侧壁的凹槽的硅晶圆中,无缺陷的位于(001)硅晶圆上的异质磊晶层可被提供。另一个优点是,因为缺陷被捕获于凹槽中,后续形成于硅晶圆上的磊晶层(作为应变松弛缓冲(strain-relaxbuffer))的厚度需求可降低。

于部分实施方式中,一种半导体结构的形成方法,包含蚀刻一半导体基材,半导体基材具有沿(001)晶面延伸的一顶面,使被蚀刻的半导体基材的顶面的一大部分沿{001}晶面延伸;成一第一磊晶层,接触被蚀刻的半导体基材的顶面;以及形成一第二磊晶层于第一磊晶层上。

于部分实施方式中,方法还包含形成一遮罩层于半导体基材上以及图案化遮罩层,以形成多个点状遮罩部分,点状遮罩部分是间隔地排列,其中蚀刻半导体基材是在图案化遮罩层之后执行。

于部分实施方式中,蚀刻半导体基材被执行以使得图案化的遮罩层被移除。

于部分实施方式中,点状遮罩部分的一的一直径实质上等于点状遮罩部分之间的一间距。

于部分实施方式中,点状遮罩部分具有实质上相等的尺寸。

于部分实施方式中,方法还包含形成一遮罩层于半导体基材上以及蚀刻多个圆形孔洞于遮罩层中,以露出半导体基材,其中蚀刻半导体基材是在蚀刻圆形孔洞之后所执行。

于部分实施方式中,圆形孔洞是交错地排列。

于部分实施方式中,圆形孔洞是非交错地排列。

于部分实施方式中,蚀刻半导体基材是使用氢氧化钾与四甲基氢氧化铵其中至少一者所执行。

于部分实施方式中,一种制造半导体结构的方法包含形成多个凹槽于一半导体基材中,其中凹槽互相紧邻,凹槽的一的一侧壁沿{111}晶面延伸;形成一第一磊晶层于凹槽中;以及形成一第二磊晶层于第一磊晶层上。

方法还包含形成一遮罩层于半导体基材上;以及蚀刻遮罩层,以形成沿多行与多列排列的多个点,其中凹槽是在蚀刻遮罩层之后形成。

于部分实施方式中,形成凹槽包含蚀刻半导体基材,蚀刻半导体基材的一蚀刻剂对(100)晶面的一蚀刻速率大于对(111)晶面的一蚀刻速率。

于部分实施方式中,其中形成凹槽包含蚀刻半导体基材,蚀刻半导体基材的一蚀刻剂对(110)晶面的一蚀刻速率大于对(111)晶面的一蚀刻速率。

于部分实施方式中,其中形成凹槽被执行以使得至少一个凹槽具有一v形轮廓。

于部分实施方式中,凹槽的一的一侧壁与凹槽的另一的一侧壁共用一边缘。

于部分实施方式中,方法还包含形成一晶体管于第二磊晶层与凹槽上。

于部分实施方式中,一种半导体结构包含一基材、一第一磊晶层、一第二磊晶层以及一隔离区域。基材具有以多行与多列排列的多个硅金字塔。第一磊晶层接触硅金字塔的多个侧壁。第二磊晶层位于第一磊晶层上。隔离区域嵌入第二磊晶层中。

于部分实施方式中,硅金字塔的相邻二者共用一相同的边缘。

于部分实施方式中,硅金字塔的一具有沿一{111}晶面延伸的一侧壁。

于部分实施方式中,第一磊晶层与硅金字塔是晶格不匹配的。

上述已概述数个实施方式的特征,因此熟悉此技艺者可更了解本揭露的态样。熟悉此技艺者应了解到,其可轻易地利用本揭露做为基础,来设计或润饰其他制程与结构,以实现与在此所介绍的实施方式相同的目的和/或达到相同的优点。熟悉此技艺者也应了解到,这类均等架构并未脱离本揭露的精神和范围,且熟悉此技艺者可在不脱离本揭露的精神和范围下,进行各种的更动、取代与润饰。

当前第1页1 2 
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1