用于金属氧化物还原的预处理方法和所形成的器件的制作方法

文档序号:7264082阅读:187来源:国知局
用于金属氧化物还原的预处理方法和所形成的器件的制作方法
【专利摘要】本发明提供了一种形成半导体器件的方法,该方法包括:在第一模块中对晶圆实施远程等离子体处理,以通过还原反应从晶圆中去除氧化物层。该方法还包括在真空下,将预处理后的晶圆从第一模块传送至第二模块。该方法还包括在第二模块中,在晶圆上方形成蚀刻停止层。本发明提供了一种金属氧化物还原的预处理方法及其所形成的器件。
【专利说明】用于金属氧化物还原的预处理方法和所形成的器件

【技术领域】
[0001] 本发明总体涉及半导体领域,更具体地,涉及金属氧化物还原的预处理方法及其 形成的器件。

【背景技术】
[0002] 半导体器件包括在半导体器件中的多种有源器件之间提供电连接的互连结构。互 连结构包括由绝缘材料环绕的导线和通孔,以降低电信号无意地从一个导电线或通孔转移 到另一个导电线或通孔的风险。不同金属液面上的连接的导线或通孔之间的电阻是确定半 导体器件的功率消耗和速度的要素。当连接的导线或通孔之间的电阻增加时,功率消耗增 力口,而半导体器件的速度减小。


【发明内容】

[0003] 根据本发明的一个方面,提供了一种形成半导体器件的方法,包括:在晶圆上形成 互连结构,互连结构包括位于其顶表面上的金属氧化物层;对晶圆实施远程等离子体处理, 以通过还原反应来还原互连结构的金属氧化物层;在晶圆上方形成介电层;以及使半导体 器件保存在真空条件下,其中,在远程等离子体处理之后,使半导体器件保存在真空条件 下,直至形成介电层为止。
[0004] 优选地,该方法还包括:在实施远程等离子体处理之前,预热晶圆。
[0005] 优选地,该方法还包括:在预热晶圆之后,将半导体器件保存在真空条件下,直至 形成介电层为止。
[0006] 优选地,对晶圆实施远程等离子体处理包括:在与容纳晶圆的处理室分离的等离 子体生成室中,生成含氢反应气体;使用导管将反应气体传送到处理室;以及使用含氢反 应气体还原晶圆上的氧化物层。
[0007] 优选地,生成含氢反应气体包括:以第一流速率将处理气体引入等离子体生成室 内,处理气体包括氨(NH 3)、硅烷(SiH4)、甲烷(CH4)、氢气(H2)和磷烷(PH 4)中的至少一种;以 及激发处理气体以生成反应气体。
[0008] 优选地,激发处理气体包括将微波引入等离子体生成室内。
[0009] 优选地,还原晶圆上的氧化物层包括:在约1. 5托至约2. 5托之间的压力下,还原 氧化物层。
[0010] 优选地,对晶圆实施远程等离子体处理提高围绕氧化物层的介电材料的表面部分 的介电常数,并且表面部分提高后的介电常数小于3. 0。
[0011] 优选地,对晶圆实施远程等离子体处理将围绕氧化物层的介电材料的整个表面部 分中的碳浓度保持在等于或大于介电材料的碳芯浓度的浓度。
[0012] 根据本发明的另一方面,提供了一种在集成系统中形成半导体器件的方法,包括: 在晶圆上形成导电层;预热晶圆;在集成系统的第一模块中,对晶圆实施远程等离子体处 理,以通过还原反应从导电层中去除金属氧化物层;在真空条件下,将晶圆从集成系统的第 一模块传送到集成系统的第二模块;以及在第二模块中,在导电层上方形成介电层。
[0013] 优选地,实施远程等离子体处理包括:以第一流速率将处理气体引入等离子体生 成室内,处理气体包括氨(NH 3)、硅烷(SiH4)、甲烷(CH4)、氢气(H2)和磷烷(PH 4)中的至少 一种;以第二流速率将载气引入等离子体生成室内,载气包括氮气(N2)、氩气(Ar)和氦气 (He)中的至少一种;以及激发处理气体以生成用于还原氧化物层的反应气体。
[0014] 优选地,该方法还包括:在真空条件下将晶圆从集成系统的第三模块传送到第一 模块,其中,在第三模块中预热晶圆。
[0015] 优选地,实施远程等离子体处理提高围绕氧化物层的介电材料的表面部分的介电 常数,并且表面部分提高后的介电常数小于3. 0。
[0016] 优选地,实施远程等离子体处理将围绕氧化物层的介电材料的整个表面部分中的 碳浓度保持在等于或大于介电材料的碳芯浓度的浓度。
[0017] 优选地,在第一模块中预热晶圆。
[0018] 根据本发明的又一方面,提供了一种半导体器件,包括:衬底;金属间介电(IMD) 层,形成在衬底上,頂D层是连续层;导电层,形成在MD层中;以及蚀刻停止层,位于MD层 和导电层上方,蚀刻停止层具有等于或大于4的介电常数,其中,頂D层的表面部分比MD层 中远离蚀刻停止层的部分具有更高的介电常数,并且MD层的表面部分具有小于3. 0的介 电常数。
[0019] 优选地,頂D层包括含碳材料,并且整个表面部分中的碳浓度等于或大于MD层的 碳芯浓度。
[0020] 优选地,表面部分具有约为1〇〇埃(A)的深度。
[0021] 优选地,该器件还包括位于MD层和导电层之间的衬里层。
[0022] 优选地,表面部分的介电常数和IMD层中远离蚀刻停止层的部分的介电常数之间 的差小于约15%。

【专利附图】

【附图说明】
[0023] 以实例的方式示出了一个或多个实施例,并且其目的不在于限制,在附图的图中, 其中,在整个说明书中具有相同参考数字标号的元件表示类似元件。应该强调的是,根据工 业中的标准实践,各种部件不必按比例绘制,并且仅用于说明目的。事实上,为了论述的清 楚起见,图中的多种特征的尺寸可以被任意地增加或减小。
[0024] 图1A至图1D是根据一个或多个实施例的处于不同生产阶段的晶圆的横截面图;
[0025] 图2是根据一个或多个实施例的用于还原晶圆上的金属氧化物层的远程等离子 体处理装置的示意图;
[0026] 图3是根据一个或多个实施例的金属间介电(MD)层的介电常数的图表;
[0027] 图4是根据一个或多个实施例的MD层和蚀亥lj停止层之间的粘附力的图表;
[0028] 图5是根据一个或多个实施例的晶圆的碳浓度深度分布的图表;
[0029] 图6是根据一个或多个实施例的还原晶圆上的金属氧化物层的方法的流程图;以 及
[0030] 图7是根据一个或多个实施例的用于实施图6的方法的装置的框图。

【具体实施方式】
[0031] 以下披露提供用于实施本发明的不同部件的多个不同实施例或实例。以下描述了 组件和布置的具体实例,以简化本发明。这些是实例并且不用于限制。
[0032] 绝缘材料的介电常数还影响半导体器件的RC延迟。半导体器件的各层之间的粘 附强度还影响器件的可靠性和寿命。
[0033] 在金属用于形成导电线或通孔的情况下,由于金属和周围环境中的氧之间的化学 氧化反应,导致在暴露于空气或水的金属线或通孔的表面上形成氧化物层。金属氧化物在 连接的金属线或通孔之间比元素金属或金属合金提供更高的电阻。
[0034] 图1A是根据一个或多个实施例的处于第一生产阶段的晶圆100的截面图。晶圆 100包括衬底110和位于衬底上方的第一蚀刻停止层112。金属间介电aMD)层114位于第 一蚀刻停止层112上方。两个开口 120位于每一个MD层114和第一蚀刻停止层112中。 每一个开口 120均包括上部116和下部118。在一些实施例中,上部116用于形成导线,而 下部118用于形成导电通孔。
[0035] 衬底110用于形成半导体器件。在一些实施例中,在衬底110中或上形成有源器 件。在一些实施例中,衬底110是半导体衬底,例如,具有或不具有外延层的硅衬底;绝缘体 上硅(SOI)衬底;合金衬底,诸如,硅锗(SiGe);或者另一种合适的衬底。半导体器件包括包 含例如晶体管、二极管、电阻器、电容器、电感器或其他有源或无源电路的器件。在一些实施 例中,在衬底110中形成导电区域。
[0036] 第一蚀刻停止层112用于控制形成开口 120的工艺的终点。在一些实施例中,第 一蚀刻停止层112包括氧化硅、氮化硅、碳化硅、氮氧化硅或其他合适的蚀刻停止材料。在 一些实施例中,介电常数(k)大于4.0。在一些实施例中,第一蚀刻停止层112的厚度范围 在约10埃(A )至约1000A之间。在一些实施例中,第一蚀刻停止层112是多层蚀刻停止 层。在一些实施例中,多层蚀刻停止层的层中的至少一层包括正硅酸乙酯(TE0S)。在一些 实施例中,通过低压化学汽相沉积(LPCVD)、常压CVD (APCVD)、等离子体增强CVD (PECVD)、 物理汽相沉积(PVD)、溅射或另一种合适的形成技术来形成第一蚀刻停止层112。
[0037] MD层114是低k介电材料。低k是指MD层114具有3.0以下的介电常数(k)。 在一些实施例中,頂D层114具有小于2. 5的介电常数,并且被称为极低k (ELK)材料。在 一些实施例中,頂D层114具有小于2.0的介电常数,并且被称为多孔低k材料。在一些实 施例中,頂D层114具有小于1. 5的介电常数。在一些实施例中,頂D层114包括掺碳二氧 化娃。在一些实施例中,IMD层114包括有机电介质、无机电介质、多孔介电材料、有机聚合 物、有机硅玻璃、氟硅酸盐玻璃(FSG)、氢硅倍半氧烷(HSQ)材料、甲基硅倍半氧烷(MSQ)材 料、多孔有机材料或另一种合适的低k材料。
[0038] 在一些实施例中,頂D层114是单层结构。在一些实施例中,頂D层114是多层结 构。在MD层114包括掺碳二氧化硅的一些实施例中,碳与硅的重量比在约0. 3至约0. 8 之间。
[0039] 在一些实施例中,通过CVD、等离子体增强CVD (PECVD)、旋涂或另一种合适的形成 技术来形成頂D层114。
[0040] 开口 120被示作为双镶嵌开口的实例。在一些实施例中,开口 120仅包括沟槽开 口、通孔开口或另一种合适类型的开口。在一些实施例中,使用"先沟槽"图案化工艺或"先 通孔"图案化工艺来形成开口 120。在一些实施例中,通过图案化位于MD层114上方光刻 胶层并且蚀刻MD层以生成开口,从而形成开口 120。第一蚀刻停止层112用于提供蚀刻工 艺的终点。在与在頂D层114上使用的蚀刻工艺分离的蚀刻工艺中,形成开口 120中穿过 第一蚀刻停止层112的部分。
[0041] 图1B是根据一个或多个实施例的处于第二生产阶段的晶圆100的截面图。在开 口 120的侧壁和底部边缘上形成阻挡层122。在阻挡层122的侧壁上并且沿着开口 120的 底部边缘形成晶种层124。在开口 120中形成导电层126,以基本上填充由晶种层124限定 的开口的剩余部分。
[0042] 设置阻挡层122,以防止导电层126扩散至MD层114内。在一些实施例中,阻挡 层122仅设置在开口 120的侧壁上。在一些实施例中,阻挡层122是多层组合。在一些实 施例中,阻挡层122具有约1()A至300Λ之间的厚度。在一些实施例中,阻挡层122包括钽 (Ta)、钛(Ti)、Ta或Ti的氮化物或其他合适的材料。
[0043] 在一些实施例中,通过物理汽相沉积(PVD)、原子层沉积(ALD)或其他合适的形成 工艺来形成阻挡层122。在一些实施例中,沿着开口 120的底部边缘以及在开口的侧壁上形 成阻挡层122。在形成晶种层124之前,从开口 120的底部边缘去除衬里层122。在一些实 施例中,在形成阻挡层122期间,在开口 120的拐角处形成突出物(overhangs)。在形成晶 种层124之前,去除突出物。在一些实施例中,使用诸如等离子体蚀刻工艺的蚀刻工艺来去 除突出物。
[0044] 晶种层124用于提供在其上形成导电层126的基底(base)。在一些实施例中,晶 种层124具有约50A至约1000A之间的厚度。在一些实施例中,晶种层124是包括主要成 分和添加物的合金层。在一些实施例中,主要成分是铜(Cu)或另一种合适的主要成分材料。 在一些实施例中,添加物包括镁(Μη)、铝(Al)、Ti、铌(Nb)、铬(Cr)、钒(V)、钇(Y)、锝(Tc)、 铼(Re)、钴(Co)或其他合适的添加物材料。在一些实施例中,使用PVD、CVD、PECVD、LPCVD 或其他合适的形成技术来形成晶种层124。
[0045] 导电层126用于提供晶圆100上的半导体器件的多种元件之间的电连接。导电层 126包括与晶种层124的主要成分相同的主要成分。在一些实施例中,晶种层126的主要 成分是铜。在晶种层124包括添加物的一些实施例中,导电层126包括与晶种层中的添加 物不同的添加物。在一些实施例中,导电层126的添加物包括Ta、铟(In)、锡(Sn)、锌(Zn)、 皿11、0、11、66、锶(51〇、钼(?丨)、镁(1%)、41、锆(21〇、钴(&))或其他合适的添加物材料。
[0046] 在一些实施例中,通过电化学镀(ECP)形成导电层126。在一些实施例中,通过 PVD、CVD或其他合适的形成技术来形成导电层126。在一些实施例中,在形成导电层126之 后执行化学机械抛光(CMP)工艺,以使IMD层114的顶面与导电层的顶面平齐。
[0047] 图1C是根据一个或多个实施例的处于第三生产阶段的晶圆100的截面图。由于 导电层与晶圆100所处环境中的氧气之间发生的化学氧化反应,导致在导电层126内部形 成氧化物层127。以下提供了以铜(Cu)作为导电层126的主要成分的非限制性实例。本领 域普通技术人员将会理解,当前申请可应用于除了铜之外的材料。
[0048] 当导电层126的铜暴露于空气或水中的氧时,在氧化反应中氧与铜反应,以形成 氧化铜(CuO或Cu 20)。当形成Cu20时,化合物在潮湿空气中降解为CuO。氧化铜(CuO)具 有约100 Ω cm (欧姆厘米)至1000 Ω cm的电阻率;而氧化铜(Cu20)具有约为4. 5Χ105Ω cm 的电阻率。与此相反,金属铜具有为1.67Xl(T6Qcm的电阻率。与导电层126相比,氧化物 层127的电阻的增大增大了晶圆100内的功率消耗,并且降低了晶圆中的电路速度。为了 保持低电阻,去除氧化物层127。
[0049] 在其他方法中,使用原位等离子体处理来去除氧化物层127。原位等离子体涉及将 气体引入容纳晶圆100的腔室中。激发所引入的气体,以在容纳晶圆100的同一腔室中形 成等离子体。等离子体被导向晶圆1〇〇,并且从导电层126中去除氧化物层127。然而,原 位等离子体处理还包括与围绕导电层126的MD层114接触的等离子体离子。原位等离子 体处理中的等离子体的高能量和温度损伤了頂D层114。受损的MD层114在MD层的受 损表面部分处出现介电常数的增大。介电常数的增大导致提供电绝缘的能力的降低。如图 1D中所示,受损的MD层114还具有与随后形成的第二蚀刻停止层128的较低的粘附性。 较低的粘附性增大了金属层之间的剥落或脱离的风险,从而具有阻止电信号在金属层之间 传输的可能。
[0050] 在本申请的一些实施例中,使用远程等离子体处理来还原氧化物层127。图2是根 据一个或多个实施例的用于还原晶圆上的金属氧化物层的远程等离子体处理装置200的 示意图。远程等离子体处理装置200包括与容纳晶圆100的处理腔室220分离的等离子体 生成腔室210。处理气体和载气被引入等离子体生成腔室210内。在一些实施例中,处理气 体包括氨(順 3)、硅烷(SiH4)、甲烷(CH4)、氢气(H2)、磷烷(PH 4)或其他合适的处理气体。载 气是惰性气体。在一些实施例中,载气包括氮气(N2)、氩气(Ar )、氦气(He )或其他合适的载 气。
[0051] 将处理气体和载气引入等离子体生成室210内,并且激发处理气体以产生含有等 离子体的反应气体。在一些实施例中,使用微波来激发处理气体,以产生含有等离子体的反 应气体。使用微波振荡器生成微波,并且使用光波导将其引入等离子体生成室210内。在 一些实施例中,微波具有约13兆赫兹(MHz)至约14MHz的频率。在一些实施例中,等离子 体生成室210中的射频(RF)功率介于约1800瓦特(W)和约2600瓦特之间。
[0052] 然后,通过导管230将反应气体注入至容纳晶圆100的处理室220中。在一些实 施例中,处理室220的温度介于约400°C和约650°C之间。在一些实施例中,处理室220的 温度小于或等于450°C。在一些实施例中,处理室220中的压力介于约1. 5托和约2. 5托之 间。在一些实施例中,反应气体和晶圆100之间发生反应的工艺时间介于约5秒和约600 秒之间。
[0053] 反应气体是等离子体化氢(plasmarized hydrogen)中的活性粒子。在还原反应 中,等离子体化氢与氧化物层127反应。使用以上氧化铜的实例,还原反应生成水和金属 铜。还原反应将使导电层126顶面的电阻降低到氧化前的水平。
[0054] 返回到图1A至图1D,在还原氧化物层127之后,在MD层114和导电层126上方 形成第二蚀刻停止层128。图1D是根据一个或多个实施例的处于第四生产阶段的晶圆100 的截面图。第二蚀刻停止层128在还原氧化物层127之后形成,并且在导电层126和周围 环境之间产生阻挡,以防止导电层的再次氧化。用于产生第二蚀刻停止层128的材料和技 术类似于对于第一蚀刻停止层112所论述的那些材料和技术。在一些实施例中,第二蚀刻 停止层128包括与第一蚀刻停止层112相同的材料。在一些实施例中,第二蚀刻停止层128 包括与第一蚀刻停止层112不同的材料。
[0055] 图3是根据一个或多个实施例的金属间介电(MD)层的介电常数的图表300。图表 300包括,例如MD层114 (图1A至图1D)的MD层的受损部分的介电常数(k)。在一些实 施例中,IMD层的受损部分的深度约为100A。条形310表示对氧化物层(例如氧化物层127 (图1C))进行预处理之前的MD层受损部分的介电常数。条形310示出预处理之前MD层 的介电常数约为2. 62。条形320表示在用于去除氧化物层的原位等离子体处理之后的MD 层受损部分的介电常数。条形320示出IMD层受损部分的介电常数的增加量多于预处理前 的介电常数值的50%,其值增加至约4. 04。条形330表示在用于还原氧化物层的远程等离 子体处理之后的MD层受损部分的介电常数。条形330示出MD层受损部分的介电常数的 增加量少于预处理前的介电常数值的15%,其值增加至约2. 99。相比于原位等离子体处理 后的介电常数,远程等离子体处理后的介电常数减少了 40%。
[0056] 与具有受到原位等离子体处理的结构的结构相比,由远程等离子体处理得到的较 低介电常数值意味着ηω层的rc延迟减小。
[0057] 图4是根据一个或多个实施例的MD层和蚀刻停止层之间的粘附力的图表400。 图表400示出在对氧化物层(例如,氧化物层127)进行的两种不同的还原处理之后,形成 蚀刻停止层之后,頂D层(例如,頂D层114)和蚀刻停止层(例如,第二蚀刻停止层128 (图 1D))之间的粘附力。条形410表示原位等离子体处理之后的MD层和蚀刻停止层之间的粘 附强度。条形410示出11毫牛顿(mN)的粘附强度。条形420表示远程等离子体处理之后 的MD层和蚀刻停止层之间的粘附强度。条形420示出13mN的粘附强度,相对于原位等离 子体处理之后的粘附强度增加了 18%以上。远程等离子体处理之后的较高的粘附强度有助 于防止MD层与蚀刻停止层分离。由于通过互连结构的电连接不容易发生故障,因此分离 的风险降低增大了产品得率的增加,并且潜在地延长了半导体器件的寿命。
[0058] 此外,与使用原位等离子体处理形成的半导体器件相比,使用远程等离子体处理 以还原导电层上的氧化物层而形成的半导体器件表现出较低的泄漏电流。较低的泄漏电流 是由远程等离子体处理期间对MD层的破坏减小而引起的。
[0059] 使用远程等离子体处理来还原导电层上的氧化物层而形成的半导体器件的时间 相关介质击穿(TDDB)约高于使用原位等离子体处理形成的半导体器件两个数量级。TDDB 类似于MD层的击穿电压。击穿电压是在此电压下,MD层的一部分变得导电的电压,从而 不能与相邻的导电层形成电绝缘。
[0060] 图5是根据一个或多个实施例的晶圆的碳浓度深度分布的图表500。图表500包 括对应于受到原位等离子体处理的晶圆中的碳浓度分布的曲线510。图表500还包括对应 于受到远程等离子体处理的晶圆中的碳浓度分布的曲线520。图表500中的阴影部分是蚀 刻停止层,例如,第二蚀刻停止层128。在图5的非限制性实例中,蚀刻停止层包括SiC。图 表500中的非阴影部分是MD层,例如MD层114。在图5的非限制性实例中,MD层包括 SiOC〇
[0061] MD层中的碳浓度有助于增大MD层114和第二蚀刻停止层128之间的粘附性,并 且有助于增加电迁移耐力。电迁移是由电流流经导电层导致导电层(例如,导电层126)的 材料转移到周围材料(例如,IMD层114)中。当较多的导电材料分散到IMD层中时,IMD层 的使邻近导电层之间绝缘的能力减弱。另外,碳浓度有助于增加 MD层114的多孔性,这又 减小MD层的介电常数k以帮助保持低RC延迟。
[0062] 曲线510示出了位于界面530以下的IMD层的表面部分处的碳浓度的骤降。在 IMD层表面处的碳浓度降至位于IMD层表面部分下方的IMD层的碳芯(core carbon)浓度 以下。表面碳浓度的下降是由原位等离子体处理期间对頂D层的损伤而引起的。在受到原 位等离子体处理的頂D层中的较低碳浓度将减小MD层和第二蚀刻停止层之间的粘附,并 且导致MD层的表面部分的电迁移增加,以及RC延迟增大。
[0063] 曲线520示出了碳浓度从SiC蚀刻停止层中的高碳浓度逐渐减小至MD层中的碳 芯浓度。相对于曲线510,曲线520的较高碳浓度是在远程等离子体处理期间对MD层的损 坏相比于在原位等离子体处理期间对MD层的损坏减小的结果。结果,与由曲线510表示 的在MD层中形成的导电层相比,由曲线520表示的在MD层中形成的导电层将对第二蚀 刻停止层具有较高的粘附性,并且MD层对电迁移将具有的较高的耐力。
[0064] 图6是根据一个或多个实施例的还原晶圆上的金属氧化物层的方法600的流程 图。方法600开始于可选的操作602,其中,预热晶圆,例如,晶圆100。在一些实施例中,使 用被配置为使用惰性气体来加热晶圆的预加热室来预先加热晶圆。在一些实施例中,将晶 圆加热至等于处理温度的温度。在一些实施例中,将晶圆加热至低于处理温度的温度。处 理温度是在此温度下,晶圆受到预处理工艺以还原晶圆表面上的氧化物层(例如,氧化物层 127)的温度。在一些实施例中,省略作为单独操作的操作602,并且在预处理晶圆的同一操 作中加热晶圆。在省略操作602的实施例中,方法600开始于操作606。
[0065] 方法600继续可选的操作604,其中,在真空下将晶圆传送到预处理室。预处理室 是在其中通过还原反应来还原晶圆表面上的氧化物的腔室。在传送工艺期间,在真空下传 送晶圆,以防止导电层(例如,导电层126)进一步氧化。真空防止导电层暴露于周围环境中 的氧中。在一些实施例中,省略操作604。当省略操作602时,省略操作604。在省略操作 604的实施例中,方法600开始于操作606。
[0066] 方法600继续操作606,其中,在预处理室中还原晶圆表面上的氧化物层。通过还 原反应来还原氧化物层,其中,氧化物层中的氧与还原剂反应以去除氧化物层中的氧。在一 些实施例中,使用远程等离子体生成还原剂,并且预处理室是远程等离子体处理装置。
[0067] 远程等离子体预处理包括将处理气体引入等离子体生成室内。在一些实施例中, 将处理气体和载气引入等离子体生成室210内。处理气体包括含氢气体。在一些实施例 中,处理气体包括氨(NH3)、硅烷(SiH4)、甲烷(CH4)、氢气(H 2)、磷烷(PH4)或其他合适的处 理气体。在一些实施例中,处理气体的流速介于约lOsccm (标准立方厘米/每分钟)和约 lOOOsccm之间。载气是惰性气体。在一些实施例中,载气包括氮气(N2)、氩气(Ar)、氦气 (He)或其他合适的载气。在一些实施例中,载气的流速介于约lOsccm和约30000sccm之 间。
[0068] 在等离子体生成室中激发处理气体,以形成反应气体,将反应气体引入到容纳晶 圆的处理室中。在还原反应中,处理气体与晶圆上的氧化物层反应。
[0069] 在预处理之后,晶圆基本上不含氧化物层。方法600继续至操作608,其中,在真空 下将晶圆传送至沉积室。在真空下传送晶圆,以防止由于导电层暴露于氧而导致在晶圆上 重新形成氧化物层。在一些实施例中,预处理室、传动装置和沉积室都是相对于外部环境的 密封的集成结构的一部分。
[0070] 方法600继续操作610,其中,在预处理后的晶圆上方形成蚀刻停止层。蚀刻停止 层(例如,第二蚀刻停止层128 )通过使导电层屏蔽于周围环境并且防止氧接触导电层,从而 有效地密封晶圆的导电层。
[0071] 本领域普通技术人员将会理解,在一些实施例中,方法600包括附加步骤。本领域 普通技术人员还会理解,在一些实施例中,在形成半导体器件期间,重复方法600多次。
[0072] 图7是根据一个或多个实施例的用于实施图6中的方法的装置700的框图。装置 700包括被配置为接收晶圆的装卸端口 710。装置700还包括被配置为在装置700内的不 同模块之间传送晶圆的传送模块720。装置700还包括被配置为预热晶圆的预热模块730。 装置700还包括被配置为还原晶圆上的氧化物层的预处理模块740。装置700还包括被配 置为在预处理后的晶圆上形成蚀刻停止层的沉积模块750。装置700还包括被配置为向装 卸端口 710插入并且从装卸端口 710卸除晶圆的装卸模块760。
[0073] 装卸端口 710被配置为从装卸模块760接收晶圆。装卸端口 710包括在与装卸模 块760的交界处设置的门。在装载或卸载工艺期间门被打开。在一些实施例中,在装载或 卸载工艺之后,对门进行密封并且对装置700的内部抽真空。
[0074] 传送模块720被配置为将晶圆从装置700中的一个模块传送至另一个模块。在一 些实施例中,传送模块720包括位于装卸端口 710和传送模块之间的密封件,以防止在装载 或卸载工艺期间氧进入传送模块。通过防止氧进入传送模块720,降低了晶圆上的导电层进 一步氧化的风险。
[0075] 预热模块730被配置为从传送模块720接收晶圆,并且预热晶圆。预热模块730被 配置为通过使加热后的惰性气体在晶圆上方流动而对晶圆进行预加热。在一些实施例中, 惰性气体包括氮气(N2)、氩气(Ar)、氦气(He)或其他合适的惰性气体。在一些实施例中,预 热模块730被配置为将晶圆预热至预处理模块740的处理温度。在一些实施例中,预热模 块730被配置为将晶圆预加热至低于预处理模块740的处理温度的温度。在预热模块730 中进行预热之后,晶圆返回至传送模块720,并且保存在真空下,以防止晶圆上的导电层进 一步氧化。
[0076] 预处理模块740被配置为从传送模块720接收晶圆,并且通过还原反应从晶圆中 去除氧化物层。在一些实施例中,预处理模块740类似于远程等离子体处理装置200(图2)。 在省略预加热模块730或者预加热模块被配置为将晶圆预热至温度低于预处理模块740的 处理温度的一些实施例中,预处理模块被配置为在去除氧化物层之前加热晶圆。在去除氧 化物层之后,晶圆返回至传送模块720,并且保存在真空下,以防止晶圆上的导电层的再次 氧化。
[0077] 沉积模块750被配置为从传送模块720接收晶圆,并且在晶圆上形成蚀刻停止层。 蚀刻停止层覆盖晶圆上的导电层,并且防止导电层与氧接触。在一些实施例中,沉积模块是 CVD室、PECVD室或另一种合适的沉积室。在形成蚀刻停止层之后,晶圆在真空下返回到传 送模块720,并且返回到装卸端口 710以进行卸载。在一些实施例中,沉积模块750具有单 独的卸载端口,其被配置为从沉积模块中移走晶圆而不会使晶圆返回至传送模块720。
[0078] 装卸模块760被配置为从装卸端口 710装载和卸载晶圆。装卸模块760包括移动 晶圆的装置,其被配置为将晶圆插入装卸端口 710以及从装卸端口 710卸除晶圆。在一些 实施例中,移动晶圆的装置包括机械臂或其他合适的装置。装卸模块760还包括被配置为 接收晶圆传送盒(F0UP)的载入口(docking locations)。F0UP用于在生产工艺期间在不同 设备之间传送晶圆。
[0079] 在一些实施例中,使用远程等离子体处理来还原互连结构上的金属氧化物层,减 少了对互连结构周围的MD层的损伤。因此,頂D层的介电常数低于使用原位等离子体处 理的工艺中的介电常数。对MD层损伤的减少还有助于保持MD层的表面区域的较高碳浓 度,并且促进頂D层和随后形成的层之间更好的粘附。在一些实施例中,在处理期间将晶圆 保存在真空条件下防止氧接触互连结构的导电材料,从而防止了在远程等离子体处理之后 的额外氧化或再氧化。
[0080] 本说明的一方面涉及形成半导体器件的一种方法。该方法包括在晶圆上形成互连 结构,其中,互连结构包括位于其顶面上的金属氧化物层。该方法还包括对晶圆上实施远程 等离子体处理,以通过还原反应来还原互连结构的金属氧化物层。该方法还包括在晶圆上 方形成介电层,其中,在远程等离子体处理之后,将半导体器件保存在真空条件下,直到形 成介电层为止。
[0081] 本说明的另一方面涉及在集成系统中形成半导体器件的方法。该方法包括在晶圆 上形成导电层,并且预热晶圆。该方法还包括在集成系统的第一模块中,对晶圆实施远程等 离子体处理,以通过还原反应从导电层中去除金属氧化物层。该方法还包括在真空条件下, 将晶圆从集成系统的第一模块传送到第二模块,并且在第二模块中在导电层上方形成介电 层。
[0082] 本说明的又一方面涉及半导体器件。半导体器件包括衬底和在衬底上形成的金属 间介电(MD)层,其中,頂D层是连续层。半导体器件还包括在MD层中形成的导电层和位 于MD层和导电层上方的蚀刻停止层,蚀刻停止层具有等于或大于4的介电常数。MD层的 表面部分比远离蚀刻停止层的部分MD具有更高的介电常数,并且MD层的表面部分具有 小于3. 0的介电常数。
[0083] 本领域普通技术人员将容易地想到,所公开的实施例实现了以上阐述的一个或多 个优点。在阅读完以上说明书之后,本领域普通技术人员能够影响本文广泛公开的等效物 和多种其他实施例的多种改变、替换。从而,本发明的目的在于所授予的保护范围仅受包含 在所附权利要求中的限定及其等效物限制。
【权利要求】
1. 一种形成半导体器件的方法,所述方法包括: 在晶圆上形成互连结构,所述互连结构包括位于其顶表面上的金属氧化物层; 对所述晶圆实施远程等离子体处理,以通过还原反应来还原所述互连结构的所述金属 氧化物层; 在所述晶圆上方形成介电层;以及 使所述半导体器件保存在真空条件下,其中,在所述远程等离子体处理之后,使所述半 导体器件保存在所述真空条件下,直至形成所述介电层为止。
2. 根据权利要求1所述的方法,还包括: 在实施所述远程等离子体处理之前,预热所述晶圆。
3. 根据权利要求2所述的方法,其中,在预热所述晶圆之后,将所述半导体器件保存在 所述真空条件下,直至形成所述介电层为止。
4. 根据权利要求1所述的方法,其中,对所述晶圆实施所述远程等离子体处理包括: 在与容纳所述晶圆的处理室分离的等离子体生成室中,生成含氢反应气体; 使用导管将所述反应气体传送到所述处理室;以及 使用所述含氢反应气体还原所述晶圆上的所述氧化物层。
5. 根据权利要求4所述的方法,其中,生成所述含氢反应气体包括: 以第一流速率将处理气体引入所述等离子体生成室内,所述处理气体包括氨(NH3)、硅 烷(SiH4)、甲烷(CH4)、氢气(H2)和磷烷(PH4)中的至少一种;以及 激发所述处理气体以生成所述反应气体。
6. 根据权利要求4所述的方法,其中,还原所述晶圆上的所述氧化物层包括:在约1.5 托至约2. 5托之间的压力下,还原所述氧化物层。
7. -种在集成系统中形成半导体器件的方法,所述方法包括: 在晶圆上形成导电层; 预热所述晶圆; 在所述集成系统的第一模块中,对所述晶圆实施远程等离子体处理,以通过还原反应 从所述导电层中去除金属氧化物层; 在真空条件下,将所述晶圆从所述集成系统的第一模块传送到所述集成系统的第二模 块;以及 在所述第二模块中,在所述导电层上方形成介电层。
8. 根据权利要求7所述的方法,其中,实施所述远程等离子体处理包括: 以第一流速率将处理气体引入等离子体生成室内,所述处理气体包括氨(NH3)、硅烷 (SiH4)、甲烷(CH4)、氢气(H2)和磷烷(PH4)中的至少一种; 以第二流速率将载气引入所述等离子体生成室内,所述载气包括氮气(N2)、氩气(Ar) 和氦气(He)中的至少一种;以及 激发所述处理气体以生成用于还原所述氧化物层的反应气体。
9. 根据权利要求7所述的方法,还包括:在真空条件下将所述晶圆从所述集成系统的 第三模块传送到所述第一模块,其中,在所述第三模块中预热所述晶圆。
10. -种半导体器件,包括: 衬底; 金属间介电(MD)层,形成在所述衬底上,所述MD层是连续层; 导电层,形成在所述IMD层中;以及 蚀刻停止层,位于所述MD层和所述导电层上方,所述蚀刻停止层具有等于或大于4的 介电常数, 其中,所述MD层的表面部分比所述MD层中远离所述蚀刻停止层的部分具有更高的 介电常数,并且所述頂D层的表面部分具有小于3. 0的介电常数。
【文档编号】H01L23/538GK104253086SQ201310398177
【公开日】2014年12月31日 申请日期:2013年9月4日 优先权日:2013年6月26日
【发明者】陈莉, 林志男, 孙锦峰, 吕伯雄, 刘定一 申请人:台湾积体电路制造股份有限公司
网友询问留言 已有0条留言
  • 还没有人留言评论。精彩留言会获得点赞!
1